Автомобильный многофункциональный дальномер

Разрабатываются структурная, функциональная и принципиальная схема электрические схемы автомобильного многофункционального акустического дальномера, позволяющего измерять дальность до объекта. Программное обеспечение дальномера. Расчет цены изделия.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид дипломная работа
Язык русский
Дата добавления 22.09.2018
Размер файла 4,6 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Техническая эффективность разработки в первую очередь обусловлена использование высоконадежной элементной базы, которая позволяет создать запас электрической прочности для неизбежных ошибок при эксплуатации.

Так же создание демонстрационного стенда с различными техническими решениями для исследования характеристик системы, такими как использование различных фазовых детекторов и фильтрующих звеньев, позволяет не только наблюдать процессы, протекающие в системе, но и проследить влияние характеристик некоторых узлов на эти процессы.

6.2 Определение общей продолжительности работы по созданию научно-технического продукта

В подразделе приводится перечень и длительность отдельных этапов, которые обеспечивают создание продукта требуемого качества.

Работу над ВКР можно разделить на следующие этапы:

1) анализ специальной литературы, ознакомление с предметной областью, обоснование необходимости и актуальности разработки;

2) составление технического задания (ТЗ). На этой стадии формируется требование к продукту, обосновывается принципиальная возможность решения поставленной задачи, определение и согласование сроков разработки;

3) на данной стадии прорабатывается ТЗ и по итогам выбирается и разрабатывается структурная схема;

4) разработка функциональной схемы;

5) технический проект (ТП). На стадии ТП разрабатывается принципиальная схема и производится расчет элементной базы.

6) разработка конструкции дальномера;

7) производится создание макета и подготовительные испытания;

8) оформление отчетной документации;

План-график выполнения работ представлен в таблице 6.1.

Таблица 6.1 План-график выполнения работ

Этапы разработки

Длительность работ (часов)

Минимум

Максимум

Ожидаемое

1.Постановка задачи

3

7

4

2.Анализ ТЗ

2

10

5

3.Разработка структурной схемы

2

5

3

4.Разработка функциональной схемы

5

8

5

5.Разработка принц. схемы

10

20

13

6.Разработка конструкции устройства

3

7

6

7.Изготовление макета и проведение испытательных мер

10

25

20

8.Оформление пояснительной записки

24

36

24

Всего: 59 118 80

Длительность этапов разработки устройства представлена на рисунке 6.1 в виде столбиковой диаграммы.

Рисунок 6.1Длительность этапов разработки устройства

6.3 Расчет временных затрат на проектирование устройства

Затраты на заработную плату разработчиков состоят из основной заработной платы, дополнительной заработной платы и отчисление на социальные нужды.

Основная заработная плата разработчиков определяется содержанием работ, квалификацией исполнителей, трудоемкостью, тарифными ставками. Основная заработная плата начисляется согласно этапам разработки устройства и может быть получена на основании таблицы 6.2. Расчет заработной платы был сделан на основе денных об оплате труда работников ООО «Элия» с. Учкекен КЧР. Часовая тарифная ставка работника

, (6.1)

где N- заработная плата работника за месяц;

T - количество рабочих часов в месяце.

При стандартной 40-часовой неделе среднемесячную продолжительность рабочего времени в 2016 году следует принимать в размере 1986 часов/12 месяцев = 165,5 часа.

Таблица 6.2 Заработная плата проектировщиков системы

Этап работы

Квалификация исполнителя

Разряд

Ставка, руб./час.

Трудоемкость, час.

Сумма, руб.

1 Разработка технического задания.

Технический

руководитель

15

135

4

540

2 Изучение

литературы, анализ

ТЗ.

Инженер

12

109

5

545

3 Разработка

структурной,

функциональной и

принципиальной

схем системы.

Инженер

12

109

21

2289

4 Разработка

конструкции

системы.

Инженер

12

109

6

654

5 Изготовление

комплекта

технической

документации для

системы.

Инженер

12

109

24

2616

6 Изготовление

макета и проведение экспериментальных

исследований.

Инженер

12

109

20

2180

7 Основная зарплата, руб.

8824

Дополнительная заработная плата определяется как произведение коэффициента дополнительной заработной платы (?д=0,15) и основной ЗП и составляет Здоп= 0,15Ч8824 = 1323 руб.

Страховые взносы составляют 30,2% от суммы основной и дополнительной заработной платы Зсв = (8824 + 1323) Ч 0,302 = 3064 руб.

Накладные расходы (охрана, отопление и т.п.) составляют 110% от основной заработной платы и составляют Знакл = 8824 Ч 1,1 = 9706 руб.

Заработная плата разработчика складывается из основной заработной платы, дополнительной заработной платы, отчислений на социальные нужды, накладных расходов, и составляют Зобщ = 8824 + 1323 + 3064 + 9706 = 22897 руб.

6.4 Расчет себестоимости

Себестоимость стенда складывается из следующих составляющих:

1) комплектующие изделия,

2) заработная плата производственных рабочих,

3) отчисления на социальные нужды рабочих - 30,2%,

4) заработная плата проектировщиков.

Данные по затратам на материалы и комплектующие приведены в таблице 6.3.

Таблица 6.3 - Затраты на комплектующие

Название комплектующих элементов

Единицы измерения

Количество

Цена, руб.

Затраты, руб.

Микросхема CPLD

CoolRunner2

шт.

1

1166

1166

Усилитель AD822

шт.

1

205

205

Дешифратор CD4046

шт.

2

28

56

Разъем на плату JTAG20

шт.

1

5

5

Ультразвуковой приемник

MA40E7R

шт.

2

591

1182

Ультразвуковой передатчик

MA40E7S

шт.

2

766

1532

Генератор кварцевый

ГК324-УТК

шт.

1

150

150

Семисегментный индикатор

BL-356B-11UR

шт.

4

27

108

Стабилитрон

2С102А

шт.

1

10

10

Разъем

PLS-10R

шт.

1

10

10

Источник опорного напряжения

TPS76318DBVT

шт.

1

23

23

Резистор

0.25Вт

шт.

17

6

102

Конденсатор керамический

50В

шт.

8

2

16

Излучатель звука HC0901F

шт.

1

17

17

Монтажная плата

шт.

1

85

85

Припой

гр.

70

0,10

7

Флюс

гр.

10

0,50

5

Итого: 4679

Исходя из стоимости монтажных и сборочных работ, рассчитаем заработную плату монтажников РЭА

Таблица 6.4 Расчет заработной платы монтажников РЭА

Наименование

Этапы работы

Количество часов

Почасовая ставка руб./час

Общая стоимость, руб.

Конструирование блока питания

2

35,3

70,6

Разводка печатной платы

5

43,9

219,6

Пайка элементов

5

39,2

196

Крепление схемы в корпусе

0,5

35,3

17,65

Итого

10,5

-

407,35

Таким образом, себестоимость устройства равна

,

где Р1- стоимость элементов,

-заработная плата проектировщиков,

-заработная плата монтажников,

-отчисления на социальные нужды рабочих + накладные расходы (конструкторов и проектировщиков)

0,3*+1,1*

.

6.5 Расчет цены изделия

Цена включает в себя прибыль и определяется по формуле

,

где - полная себестоимость;

- норма прибыли (20% от полной себестоимости);

.

6.6 Расчет экономического эффекта

При определении экономической эффективности новых изделий необходимо установить размер расходов на эксплуатацию этих изделий в сфере потребления.

Эксплуатационные расходы рассчитываются по формуле

,

где A- амортизационные отчисления.

Амортизационные отчисления учитывают износ объекта эксплуатации:

,

где -полная себестоимость, руб.;

H-годовая норма амортизации=20%.

Затраты на гарантийное обслуживание, т.е. на проведение текущего ремонта составляет 5% от себестоимости

.

Тогда,

6.7 Расчет годового экономического эффекта

Годовой экономический эффект у производителя определяется по формуле

Э = (Z - Сп - НДС) * N - EH * K,

где Э - экономический эффект, Z (Z = ) - продажная цена изделия, Сп (Сп = 28552) - себестоимость устройства,

N - выпуск продукции за год (100 шт.),

EH - нормативный коэффициент эффективности капитальных вложений (EH = 1),

K - абсолютная величина капитальных вложений.

Э = ( - 28552 - 6176) * 100 - 1 * = 529671 руб.

Срок окупаемости рассчитаем по формуле

Сводные экономические показатели разработанного устройства приведены в таблице 6.5.

Таблица 6.5 Экономические показатели разработки

Показатель

Ед. измерения

Значения показателей проекта

1

Стоимость комплектующих материалов

руб.

4679

2

Себестоимость

руб.

28552

3

Продажная цена

руб.

34262

4

Годовой экономический эффект

руб.

529671

5

Срок окупаемости

год

0.07

В данном разделе была рассмотрена актуальность ВКР.

Были подробно рассмотрены затраты на организацию производства: зарплата разработчика, затраты на изготовление действующего макета, стоимость материалов. Так же была рассчитана себестоимость изделия. Все результаты были занесены в таблицы.

На выполнение работы ушло 80 часов.

7. Безопасность и экологичность работы

7.1 Системный анализ надежности

Системный анализ надежности будет проведен на уровне системотехнических решений.

За головное событие цепи событий примем событие «Отказ работы автомобильного дальномера»

Отказ работы автомобильного дальномера может вызвать каждое из следующих событий:

1) электрический пробой элементов схемы;

2) недостаточное напряжение питания.

Следовательно, они связаны с головным событием через логическую операцию «ИЛИ».

Электрический пробой элементов схемы может быть вызван следующими событиями:

1) нарушение условий эксплуатации;

2) превышение допустимого значения входного напряжения или тока элементов.

Эти события связаны с предыдущим через логическую операцию «ИЛИ».

нарушение условий эксплуатации может быть вызвано совместным появлением следующих событий:

1) изменение напряжения в сети питания;

2) попадание на плату устройства инородных тел или жидкостей;

Эти события связаны с предыдущим через логическую операцию «ИЛИ».

Превышение допустимого значения входного напряжения или тока элементов может быть вызвано появлением следующих событий:

1) коротким замыканием;

2) неправильно подобраны элементы схемы.

Связь этих событий с предыдущим осуществляется через логический оператор «ИЛИ».

Событие «Короткое замыкание» может быть вызвано в случае:

1) отсутствия цепей согласования;

2) старения элементов;

3) внешних факторов (нагрев, повышенная влажность, наличие посторонних частиц или деталей между контактами элементов).

Связь этих событий с предыдущим осуществляется через логический оператор «ИЛИ».

Событие «Неправильно подобраны элементы схемы» может возникнуть в случае:

1) ошибки при разработке схемы;

2) ошибки при монтаже платы.

Связь этих событий с предыдущим осуществляется через логический оператор «ИЛИ».

Событие «недостаточное напряжение питания» может быть вызвано в следующих случаях:

1) механические повреждения;

2) ошибки при создании принципиальной схемы;

3) старение элементов.

Связь этих событий с предыдущим осуществляется через логический оператор «ИЛИ».

Событие «механические повреждения» может быть вызвано следующими событиями:

1) Тряска;

2) Внешнее воздействие.

Связь этих событий с предыдущим осуществляется через логический оператор «ИЛИ».

Событие «ошибка при создании принципиальной схемы» может быть вызвано вследствие следующих причин:

1) ошибка при разработке схемы;

2) ошибка при монтаже платы.

Связь этих событий с предыдущим осуществляется через логический оператор «ИЛИ».

Событие «ошибка при разработке схемы» может быть вызвано вследствие следующих причин:

1) некомпетентность разработчика;

2) неверифицированное ПО разработчика.

Дерево причин для события «Отказ работы схемы» изображено на рисунке 7.1.

Рисунок 7.1 Дерево отказов работы стенда

7.2 Мероприятия по повышению надежности работы устройства

Мероприятия по повышению надежности анализируемой системы включают:

1) использование предохранительных звеньев;

2) стабилизация напряжения питания с помощью стабилитронов;

3) поместить плату устройства в водонепроницаемый контейнер;

4) использование влагоустойчивых ультразвуковых датчиков;

5) соблюдение теплого режима работы устройства;

6) надежное закрепление устройства на борту автомобиля;

7) содержать устройство в чистоте;

8) не допускать механических повреждений;

9) не допускать перегибов соединительных проводов.

7.3 Противопожарная безопасность в лаборатории

По пожароопасности наша лаборатория имеет категорию помещения В3 - пожароопасное, так как в помещении находятся твердые горючие и трудно горючие вещества и материалы, которые при взаимодействии с кислородом воздуха способны только гореть. К твердым горючим веществам относятся деревянные части мебели и возможные накопления пыли в корпусах электронных приборов и ЭВМ (НПБ 105-03).

По степени огнестойкости данное помещение относится к I-й степени огнестойкости (выполнено из кирпича, которое относится к несгораемым материалам) (СНиП 21-07-97*).

Возможные причины возникновения пожара:

- неисправны электропроводка, выключатели, штепсельные розетки,

- перегрузка в электросети;

- короткое замыкание;

- разрушение изоляции проводников.

Пожарная безопасность в лаборатории обеспечивается в соответствии с системой предотвращения пожара, противопожарной защиты и организационно-техническими мероприятиями.

В помещении с электрооборудованием установлена автоматическая пожарная сигнализация РОСА-2 SL на дымовых извещателях ДИП-1, из расчета 2 шт. на каждые 20 м2площади помещения, учитывая высокую стоимость оборудования, наличие скрытых коммуникаций и специфику загорания ЭВМ.

Для тушения пожара в лаборатории имеются огнетушители ОП-2(3)А,В, С,Е, которые предназначен для тушения небольших очагов пожара.

Огнетушители подвергаются периодической проверке и перезарядке

Курение допускается только в специально отведенных местах или комнатах, обозначенных соответствующими надписями и обеспеченных урнами с водой.

В лаборатории должна быть вывешена табличка с указанием фамилии и должности лиц, ответственных за пожарную безопасность.

Коридоры, проходы, основные и запасные выходы, тамбуры, лестничные клетки должны постоянно содержаться в исправном состоянии, ничем не загромождаться, а в ночное время освещаться.

При возникновении пожара необходимо немедленно выключить электропитание лаборатории рубильником и воспользоваться огнетушителем.

7.4 Защита окружающей природной среды

Отходы электроники не разлагаются со временем и потому представляют постоянно нарастающую глобальную проблему во всем мире. Уничтожение и утилизация печатных плат является отдельной проблемой. Печатные платы содержат органические смолы, керамические элементы и стекловолокно, а также драгоценные металлы. Известно, что драгоценные металлы в основном присутствуют на выходах компонентов и на контактных площадках плат. Потери при переработке плат, содержащих драгоценные металлы в компонентах, составляют примерно 35%.

Заключение

В данной работе разрабатывается дальномер. После окончания срока службы данного устройства можно повторно использовать исправные компоненты, а печатная плата вместе с неисправными элементами подлежит утилизации на специализированном оборудовании. Дальномер не оказывает вредного излучения на человека.

Список использованных источников

1. Титце У., Шенк К. Полупроводниковая схемотехника: справочное руководство - М.: Мир, 1982

2. Шило В.Л. Популярные цифровые микросхемы: справочник. Челябинск: Металлургия, 1989

3. Зельдин Е.А. Импульсные устройства на микросхемах: М.: Радио и связь, 1991

4. Гольденберг Л.М. Импульсные и цифровые устройства - М.: Связь, 1973

5. Бойко В.И. Схемотехника электронных систем. Аналоговые и импульсные устройства - СПб.: 2004

6. Грабовски Б. Краткий справочник по электронике - М.: ДМК Пресс, 2001

7. Аксенов А.И., Нефедов А.В., Отечественные полупроводниковые приборы: справочное пособие. М.: Солон-пресс, 2008

8. Резисторы - справочник. Под общей редакцией Четверткова И.И., Терехова В.М. М.: Радио и связь, 1987

9. Справочник по полупроводниковым диодам. Под редакцией Николаевского И.Ф. М.: Связь, 1979

10. Справочник по электрическим конденсаторам. Под общей редакцией Четверткова И.И., Смирнова В.Ф. М.: Радио и связь, 1983

11. Полупроводниковые приборы: транзисторы: справочник. Под общей редакцией Горюнова Н.Н. М.: Энергоатомиздат, 1985

12. С.Т. Усатенко, Т.К. Каченюк, М.В. Терехова. Выполнение электрических схем по ЕСКД. Москва, Издательство стандартов,1968 г.

13. Поляков К.П. Конструирование приборов и устройств радиоэлектронной аппаратуры. - М.: Радио и связь, 1982. - 240 с.

14. Соловьев В.В. Основы языка проектирования цифровой аппаратуры Verilog.

Приложение А

Код рабочей программы на языке программирования ПЛИС Verilog

Модуль ТВ

////////////////////////////////////////////////////////////////////////////////////////////////////////

timescale 1ns/1ps

module TB ();

parameter CLOCKPERIOD = 25;

reg RST;

reg CLK;

reg ADDR0;

reg ADDR1;

reg ADDR2;

wire MEAS_CH;

wire SON1;

wire SON2;

wire SON3;

wire [7:0] DATA_O;

wire [2:0] ADDR;

assign ADDR[0] = ADDR0;

assign ADDR[1] = ADDR1;

assign ADDR[2] = ADDR2;

always #(CLOCKPERIOD/2) CLK <= !CLK;

initial

begin

RST <= 1'b1;

CLK <= 1'b0;

ADDR0 <= 1'b0;

ADDR1 <= 1'b0;

ADDR2 <= 1'b0;

#(100000*CLOCKPERIOD)

RST <= 1'b0;

#(100000*CLOCKPERIOD)

ADDR0 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR0 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR0 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR0 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR0 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR0 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR0 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR0 <= 1'b0;

//////////////////////////////

#(14000*CLOCKPERIOD)

ADDR1 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR1 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR1 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR1 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR1 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR1 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR1 <= 1'b0;

///////////////////////////////

//////////////////////////////

#(30000*CLOCKPERIOD)

ADDR2 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b0;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b1;

#(4000*CLOCKPERIOD)

ADDR2 <= 1'b0;

end

TOP TOP

(

.CLK(CLK),

.RST(RST),

.ADDR(ADDR),

.MEAS_CH(MEAS_CH),

.SON1(SON1),

.SON2(SON2),

.SON3(SON3),

.DATA_O(DATA_O)

);

Endmodule

///////////////////////////////////////////////////////////////////////////////////////////////////////

Модуль ТОР

`timescale 1ns/1ps

module TOP

(

input CLK, // 40МГц

input RST,

// вход от МК

input [2:0] ADDR, // входной адрес , каждый разряд которого показывает номер направления прихода сигнала [2]-слева,[1]-центр,[0]-справа

output MEAS_CH, // выход к четвертому излучателю

output SON1, // выход к первому излучателю

output SON2, // выход ко второму излучателю

output SON3, // выход к третьему излучателю a

output [7:0] DATA_O // шина данных

);

wire [2:0] SON;

assign SON1 = SON ;

assign SON2 = SON ;

assign SON3 = SON ;

TRANSMITTER TRANSMITTER

(

.CLK(CLK),

.RST(RST),

.SON(SON),

.MEAS_CH (MEAS_CH)

);

DISPLAY DISPLAY

(

.RST(RST),

.CLK(CLK),

.ADDR_I(ADDR),

.DATA(DATA_O)

);

Endmodule

/////////////////////////////////////////////////////////////////////////////////////////////////////////

Модуль TRANSMITER

`timescale 1ns/1ps

module TRANSMITTER

(

input CLK,

input RST,

output reg MEAS_CH, // Measure channel

output reg SON // Sonar

);

reg [14:0] COUNTER; // счетчик для понижения частоты

// Будем использовать значение периода излучения зондирующего импульса равное 100мкс,

// тогда частота повторения зондирующих импульсов равняется 1кГц.

// Известно, что значение входной тактовой частоты ПЛИС равняется 40МГц,

// тогда, чтобы получить значение 1кГц, нам необходимо разделить частоту на 40000.

// Это делается с помощью обыкновенного синхронного 15-разрядного счетчика и компаратора.

// Когда значение счетчика достигает 20000 (половина периода), компаратор меняет состояние выходного значения

// своего регистра на противоположное(0 на 1 и наоборот). Тем самым мы получаем последовательность импульсов

// с периодом 1мс.

reg STOP; // сигнал сброса счетчика

parameter IDLE = 0;

parameter MEASURE = 1;

parameter WORK = 2;

reg [1:0] ST;

reg [1:0] N_ST;

reg EN_WORK;

always @(posedge CLK or posedge RST)

if (RST)

ST <= IDLE;

else

ST <= N_ST;

always @(posedge CLK)

case(ST)

0:

if (!RST)

N_ST <= MEASURE;

else

begin

MEAS_CH <= 1'b0;

EN_WORK <= 1'b0;

end

1: if (STOP)

begin

MEAS_CH <= 1'b0;

N_ST <= WORK;

end

else

begin

EN_WORK <= 1'b0;

MEAS_CH <= 1'b1;

end

2:

begin

MEAS_CH <= 1'b0;

EN_WORK <= 1'b1;

N_ST <= WORK;

end

endcase

always @(posedge CLK or posedge RST)

if (RST)

COUNTER <= 15'b0;

else

begin

if (STOP)

COUNTER <= 15'b0;

else

COUNTER <= COUNTER + 1;

end

always @(posedge CLK or posedge RST)

if (RST)

STOP <= 1'b0;

else if (COUNTER >= 20000)

STOP <= 1'b1;

else

STOP <= 1'b0;

always @(posedge STOP or posedge RST)

if (RST)

SON <= 1'b0;

else if (EN_WORK)

SON <= !SON;

Endmodule

//////////////////////////////////////////////////////////////////////////////////////////////////////////

Модуль DISPLAY

`timescale 1ns/1ps

module DISPLAY

(

input RST,

input CLK,

input [2:0] ADDR,

output reg RD_WR,

output reg A0,

output reg [7:0] DATA,

output reg E,

output CS,

output RESET,

);

assign CS = 1'b0; // выбираем правый кристалл

DISPLAY_RESET DISPLAY_RESET //

(

.CLK(CLK), //I

.RST(RST), //I

.RESET(RESET) //O

);

//parameter IDLE = 0;

//parameter CFG = 1;

//parameter IND_L = 2;

//parameter IND_R = 3;

//parameter IND_C = 4;

//reg [2:0] ST;

//reg [2:0] N_ST;

//

//always @(posedge CLK or posedge RST)

// if (RST)

// ST <= IDLE;

// else

// ST <= N_ST;

//

// always @(posedge CLK)

// case

reg EN_CFG;

reg EN_L;

reg EN_R;

reg EN_C;

always @(posedge CLK or posedge RST) //

if (RST)

EN_CFG <= 1'b0;

else if (CFG_DONE)

EN_CFG <= 1'b1;

always @(posedge CLK or posedge RST) //

if (RST)

EN_L <= 1'b0;

else if (CFG_DONE)

begin

if (ADDR[2]==1)

EN_L <= 1'b1;

else

EN_L <= 1'b0;

end

always @(posedge CLK or posedge RST) //

if (RST)

EN_R <= 1'b0;

else if (CFG_DONE)

begin

if (ADDR[0]==1)

EN_R <= 1'b1;

else

EN_R <= 1'b0;

end

always @(posedge CLK or posedge RST) //

if (RST)

EN_C <= 1'b0;

else if (CFG_DONE)

begin

if (ADDR[1]==1)

EN_C <= 1'b1;

else

EN_C <= 1'b0;

end

reg CFG_DONE;

reg [2:0] CFG_ST;

reg [2:0] CFG_N_ST;

always @(posedge CLK or posedge RST)

if (RST)

CFG_ST <= 3'b0;

else

CFG_ST <= CFG_N_ST;

always @(posedge CLK)

case (CFG_ST)

0: begin

CFG_DONE <= 1'b0;

CFG_N_ST <= 3'd1;

E <= 1'b0;

RD_WR <= 1'b0;

A0 <= 1'b0;

DATA <= 8'b0;

end

1:

begin

E <= 1'b1;

RD_WR <= 1'b0;

A0 <= 1'b0;

DATA <= 8'b11101110;

CFG_N_ST <= 3'd2;

end

2:

begin

E <= 1'b1;

RD_WR <= 1'b0;

A0 <= 1'b0;

DATA <= 8'b10100101;

CFG_N_ST <= 3'd3;

end

3:

begin

E <= 1'b1;

RD_WR <= 1'b0;

A0 <= 1'b0;

DATA <= 8'b10101001;

CFG_N_ST <= 3'd4;

end

4:

begin

E <= 1'b1;

RD_WR <= 1'b0;

A0 <= 1'b0;

DATA <= 8'b10101111;

CFG_N_ST <= 3'd5;

end

5:

begin

E <= 1'b1;

RD_WR <= 1'b0;

A0 <= 1'b0;

DATA <= 8'b11000001;

CFG_N_ST <= 3'd6;

end

6:

begin

E <= 1'b1;

RD_WR <= 1'b0;

A0 <= 1'b0;

DATA <= 8'b10100001;

CFG_DONE <= 1'b1;

CFG_N_ST <= CFG_N_ST;

end

endcase

//

always @(posedge CLK or posedge RST)

if (EN_L)

begin

A0 <= //

RD_WR <= 1'b0;

DATA <= //

end

always @(posedge CLK or posedge RST)

if (EN_C)

begin

A0 <= //

RD_WR <= 1'b0;

DATA <= //

end

always @(posedge CLK or posedge RST)

if (EN_R)

begin

A0 <= //

RD_WR <= 1'b0;

DATA <= //

end

endmodule

////////////////////////////////////////////////////////////////////////////////////////////////////////

Модуль DISPLAY_RESET

`timescale 1ns/1ps

module DISPLAY_RESET

(input CLK,

input RST,

output reg RESET );

reg [8:0] COUNTER_RESET;

always @(posedge CLK or posedge RST)

if (RST)

COUNTER_RESET <= 9'b0;

else if (RESET)

COUNTER_RESET <= COUNTER_RESET;

else

COUNTER_RESET <= COUNTER_RESET + 1;

always @(posedge CLK or posedge RST)

if (RST)

RESET <= 1'b0;

else if (COUNTER_RESET >= 500)

RESET <= 1'b1;

else

RESET <= 1'b0;

endmodule

Размещено на Allbest.ru

...

Подобные документы

  • Функциональная структура, принципиальная схема, конструкция и алгоритмы работы многофункционального прибора. Выбор типов датчиков и УСО, расчет погрешности, разрядности переменных и быстродействия микроконтроллера. Экономическое обоснование проекта.

    дипломная работа [284,1 K], добавлен 20.10.2011

  • Разработка структурной схемы и 3D модель мехатронной системы ориентирования, проектирование ее электронной и механической частей. Методы измерения расстояния с использованием лазеров. Технические характеристики лазерного сканирующего дальномера.

    дипломная работа [2,4 M], добавлен 18.09.2015

  • Описание котла как объекта регулирования давления пара. Принципиальная, функциональная и структурная схема регулятора, его передаточная функция, уравнение динамики и статики. Расчет исполнительного механизма. Схема установки с комбинированным отоплением.

    курсовая работа [10,4 M], добавлен 05.04.2016

  • Длительность зондирующего импульса. Напряжение с дискриминатора. Система слежения за направлением прихода радиосигнала. Обобщенные функциональная и структурная схемы радиотехнических следящих систем. Структурная схема угломерной следящей системы.

    реферат [108,9 K], добавлен 10.12.2008

  • Принцип действия электронных весов, их структурная, функциональная и принципиальная электрические схемы, выполненные на современной элементной базе. Общая характеристика основных электрических параметров таких микросхем как - КР142ЕН5А, КР572ПВ2, К153УД2.

    курсовая работа [32,2 K], добавлен 18.06.2010

  • Характеристика, структурная и принципиальная схема электропривода. Методика ремонта устройства и алгоритм поиска неисправностей. Расчет электрической схемы усилителей постоянного тока. Разработка стандарт-плана и расчет расходов на изготовления изделия.

    дипломная работа [4,4 M], добавлен 18.05.2012

  • Разработка радиотехнического метода и аппаратуры высокоточного контроля геометрической формы плотин гидроэлектростанций. Обоснование радиотехнического метода измерений точных расстояний. Узлы точного дальномера. Определение абсолютного значения дальности.

    дипломная работа [3,8 M], добавлен 25.07.2012

  • Специальные схемы технологической сигнализации большого числа параметров автоматизируемого объекта, алгоритм их работы. Алгоритмы работы аварийной и позиционной сигнализаций. Принципиальная электрическая схема блока аварийной и позиционной сигнализаций.

    реферат [3,2 M], добавлен 04.02.2011

  • Структурная, функциональная и принципиальная схема для устройства регистровой памяти типа "магазин". Выполнение необходимых расчетов для обеспечения требуемых токов и потенциалов для используемых элементов. Временные соотношения и потребляемая мощность.

    курсовая работа [433,5 K], добавлен 14.07.2009

  • Структурная схема передатчика. Электрические расчеты режимов и элементов оконечного каскада. Расчет параметров штыревой антенны. Конструкторский расчет элементов оконечной ступени. Назначение всех элементов принципиальной схемы радиопередатчика.

    курсовая работа [5,3 M], добавлен 24.04.2009

  • Алгоритм функционирования устройства управления мощностью двигателя постоянного тока. Основные компоненты функциональной спецификации системы. Структурная и принципиальная схема, программное обеспечение. Проектирование аппаратных средств системы.

    курсовая работа [410,4 K], добавлен 24.12.2013

  • Физические основы работы акустического светорегулятора. Диммер - переключатель мощности подключенного к нему бытового осветительного электроприбора. Типовые схемы построения регуляторов освещения. Способы управления работой диммера. Схема печатной платы.

    контрольная работа [725,3 K], добавлен 06.08.2013

  • Структурная схема музыкального центра и электрическая принципиальная схема проигрывателя компакт дисков. Меры предосторожности при обслуживании CD проигрывателя. Алгоритм поиска неисправности CD проигрывателя. Расчет элементов электрической схемы.

    дипломная работа [7,0 M], добавлен 18.05.2012

  • Структурная и принципиальная электрические схемы микропроцессорной системы (МПС) для управления объектом. Программные модули, обеспечивающие выполнение алгоритма управления объектом, оценка параметров МПС. Расчет аппаратных затрат, потребляемой мощности.

    курсовая работа [1,2 M], добавлен 28.12.2012

  • Составление структурной схемы автомата. Выбор элементной базы. Функциональная схема автомата. Задающий генератор и делитель частоты. Преобразователь параллельного кода в последовательный. Формирователь стартовых импульсов. Кодирование и минимизация.

    курсовая работа [3,0 M], добавлен 07.02.2013

  • Структурная схема устройства, принцип его работы. Выбор элементов функциональной схемы стенда. Разработка аппаратной части, конструктивное построение. Технология изготовления печатной платы. Обеспечение системы электробезопасности проектируемого изделия.

    дипломная работа [2,0 M], добавлен 14.02.2011

  • Функциональная спецификация и преимущества термометрического датчика. Структурная схема микроконтроллера РIС16F84A. Алгоритм работы программы, описание функциональных узлов, выбор элементной базы и принципиальная схема терморегулятора для аквариума.

    курсовая работа [4,7 M], добавлен 27.12.2009

  • Технические характеристики цифрового кодового звонка. Принцип его действия: структурная и принципиальная схема. Разработка инструкции по настройке и регулировке. Характерные неисправности изделия, алгоритм их поиска. Электрический расчет мультивибраторов.

    курсовая работа [194,7 K], добавлен 24.05.2017

  • Структурная схема системы передачи данных. Принципиальная схема кодера и декодера Хэмминга 7,4 и Манчестер-2, осциллограммы работы данных устройств. Преобразование последовательного кода в параллельный. Функциональная схема системы передачи данных.

    курсовая работа [710,0 K], добавлен 19.03.2012

  • Обоснование выбора принципов построения. Структурная схема и ее описание. Расчет основных показателей и их характеристика. Описание функциональной и принципиальной схем. Сущность программного обеспечения и его характеристика. Анализ исходных данных.

    курсовая работа [164,9 K], добавлен 05.03.2009

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.