Мікропроцесорний пристрій для вимірювання частоти сигналу трикутної форми

Розробка структурної схеми мікропроцесорного пристрою вимірювання частоти сигналу трикутної форми. Обґрунтування вимог до основних елементів. Розробка блок-схеми алгоритму і програми його реалізації. Основи аспекти розрахунку параметрів системи.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык украинский
Дата добавления 28.05.2014
Размер файла 452,0 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru

Міністерство освіти та науки України

Житомирський державний технологічний університет

Кафедра РЕА, РТ та ТТ

КУРСОВА РОБОТА

Обчислювальна та мікропроцесорна техніка в електронних апаратах

«Мікропроцесорний пристрій для вимірювання частоти сигналу трикутної форми»

Виконала: Паламарчук Л.С.

Група РТ-15

Перевірив: Ципоренко В.Г.

2013

Анотація

Для закріплення практичних навичок і розширення теоретичних знань з курсу «Цифрова обробка сигналів » була введена дана курсова робота.

Ця курсова робота присвячена розробці пристрою вимірювання частоти сигналу трикутної форми на основі мікроконтролера. В даній курсовій роботі був виконаний вибір та аналіз елементної бази, розглянуті методи вимірювання частоти. В основу роботи покладений мікроконтролер PIC16F628, розглянуто його властивості та характеристики. Також проведені основні розрахунки приладу його параметрів, розроблено програмне забезпечення, що було виконано на мові програмування Assembler.

Abstract

To consolidate practical skills and theoretical knowledge of expanding the course " Digital Signal Processing " was introduced this course work.This course is devoted to the development of a device measuring the frequency triangular signal from the microcontroller. In this course work was completed selection and analysis components, the methods of measuring frequency. The work was based microcontroller PIC16F628, examined its properties and characteristics. Also conducted basic calculations instrument of its parameters, developed software that was written in the programming language Assembler.

Зміст

Вступ

1. Технічне завдання

2. Аналіз існуючих рішень

3. Розробка структурної схеми

4. Обґрунтування вимог до основних елементів

5. Розробка принципової схеми

6. Розробка блок-схеми алгоритму і програми реалізації алгоритму

7. Розрахунок параметрів системи

Висновки

Список використаних літературних джерел

мікропроцесорний частота алгоритм

Вступ

У багатьох пристроях техніки зв'язку і управління виникають завдання вимірювання та спостереження за частотою періодичних імпульсів. Пристрої, що здійснюють вимірювання частоти періодичних імпульсів (сигналів), називаються частотомірами. На виході таких пристроїв часто здійснюється індикація вимірюваної величини. Однак у багатьох випадках потрібно автоматичний контроль і управління частотою імпульсів (в деяких пристроях автоматики) і індикація може бути відсутньою. На проектування був узятий частотомір з верхньою межею вимірювання частоти 1000 Гц. Пристрій здійснює індикацію частоти поданого на вхід сигналу.

Має один діапазон вимірювань 50Гц - 1000Гц. Для розширення меж вимірювань до входу схеми можна підключити зовнішній дільник частоти. Є можливість організувати паралельний або послідовний інтерфейс зв'язку з комп'ютером (в курсовій не розглянута). Це дозволить запам'ятовувати свідчення в файлах або дасть великі можливості по візуалізації отриманих даних (побудова графіків), а також дозволить видавати з комп'ютера відповідні (керуючі) сигнали на об'єкт управління.

В наш час майже любе технічне обладнання передбачає використання ЕОМ у використані інженера. Конструктора або просто людини. Унікальність застосування мікропроцесорних систем полягає в тому насамперед, що не змінюючи фізичної основи пристрою, можна замінити сам алгоритм виконання цим приладом певних задач, що дозволяє просто змінивши програму отримати абсолютно новій пристрій.

Перевагою мікропроцесорних систем, приладів є те що це досить гнучкі системи, розроблені для виконання конкретного завдання керування. Їх легко пристосувати до рішення конкретної задачі простою зміною програмного коду в області пам'яті даної системи. Звідси виходить що розробивши раз прилад ми можемо просто встановлюючи нове програмне забезпечення отримати абсолютно новій прилад. Завдяки цьому Мікропроцесорні системи та пристрої утворюють особливий клас електронних систем управління і мають ряд унікальних можливостей з точки зору реалізації самих складних завдань управління.

Мікропроцесор являє собою автономний функціонально закінчений пристрій, що складається з однієї або декількох програмно керованих БІС, що включає всі засоби. Необхідні для обробки інформації та управління даними і розраховане на спільну роботу з пристроями пам'яті і введення/виведення інформації.

Навіть не зважаючи на величезну різноманітність МП та функції, що вони виконують, логіка та алгоритм побудови МП залишаються незмінними. Отже вивчення основних принципів побудови буде достатньою для розробки і використання мікропроцесорної системи або приладу різних типів.

1. Технічне завдання

Завдання на курсову роботу: Розробити мікропроцесорний пристрій вимірювання частоти сигналу трикутної форми.

Умови роботи в залежності від сигналу:

1) кількість повторних вимірів 6

2) діапазон виміру тривалості 50-1000 Гц

3) похибка відображення 0.1 Гц

Кліматичні умови:

1) Відносна вологість від 0 до 80%.

2) Температура навколишнього середовища від +10 до +50 оС.

3) Атмосферний тиск: від 630 мм рт. ст..

Вимоги до конструктивного оформлення:

1) Усереднений результат вимірювання відобразити на 7-сегментних світодіодних індикаторах.

2) Пристрій має міститись в компактному корпусі.

3) Пристрій виконаний на стандартній друкованій платі(доступні, широко користуванні елементи).

2. Аналіз існуючих рішень

Діапазон вимірюваних частот в радіоелектроніці простягається від долей герца до десятків гігагерц, тобто від інфранизьких до надвисоких частот. Вибір методу вимірювання частоти визначається її діапазоном, необхідною точністю вимірювання та іншими факторами. Вимірювання частоти змінного струму від 20 до 2500 Гц в ланцюгах живлення здійснюється з відносно невисокою точністю частотомірами електромагнітної, електродинамічної і феродинамічної систем з безпосереднім відліком частоти по шкалі логометричного вимірювача. Для вимірювання низьких та високих частот застосовують частотоміри, принцип дії яких оснований на методах заряду і розряду конденсатора, мостовому, порівняння вимірюваної частоти із зразковою, резонансному.

Пристрої вимірювання частоти є одним з типів пристроїв з використанням мікроконтролерів. В основі даних пристроїв обов'язковим елементом є мікроконтролер, що рахує імпульси зразкової або вимірюваної частоти.

Існують такі методи вимірювання частоти.

Резонансний метод вимірювання частоти

Резонансний метод базується на порівнянні вимірюваної частоти з частотою власних коливань коливальної ланки. Застосовується для вимірювання частот від 100 кГц до 100ГГц. Основним вузлом резонансного частотоміра є коливальна система. На частотах до 100 МГц в якості коливної системи застосовуються резонансні контури із зосередженими постійними, на більш високих частотах до 1 ГГц - контури з розділеними постійними у вигляді відрізків коаксіальної або смугової лінії, на ще більш високих частотах використовуються об'ємні резонатори, на частотах більше 30 ГГц - відкриті резонатори.

На рис.1 приведена схема резонансного хвилеміра з коливною системою у вигляді контура із зосередженими параметрами L та C. Резонасна частота визначається за формулою:

Рис. 1 Схема резонансного хвилеміра

Вимірювальний контур має індуктивний зв'язок з ланцюгом джерела коливань і автотрансформаторний зв'язок з індикатором. Індикатор фіксує напругу на частині котушки L. Резонансна частота визначається за формулою:

Вплив вхідних та індикаторних ланцюгів на вимірювальний контур можливо оцінити введенням в нього вносимих реактивного Xвн та активного Rвн опорів. Напруга, яка поступає на індикаторний ланцюг визначається так:

де r та x - активний та реактивний опір вимірювального контуру;

p - коефіцієнт включення індикаторного ланцюга;

U - амплітуда напруги на контурі.

Напруга буде максимальною при X?Xвн. Частоту відлічують по шкалі конденсатора змінної ємності при настроюванні на максимум напруги. В резонансних частотомірах зв'язок з джерелом коливань і індикатором повинен бути дуже слабким, тому що виникає похибка при вимірюваннях через вплив реактивного опору джерела коливань. Основна похибка обчислюється формулою:

,

де дfобр - відносна похибка зразкового приладу, на якому проводилось градуювання ;

дfнр - відносна похибка настроювання в резонанс;

дfгр - похибка градуювання, обумовлена неточністю нанесення поділок на шкалі;

дfотс - похибка відліку.

Метод заряду і розряду конденсатора

Суть цього методу полягає у вимірюванні струму розряду Iср конденсатора, який періодично перезаряджається в такт із вимірюваною частотою fx (рис.2).

Рис. 2 Спрощена схема конденсаторного частотоміра

Якщо конденсатор C за допомогою перемикача П заряджати від джерела Е.Р.С. E до напруги U1, а потім розряджати через мікроамперметр магніто-електричної системи до напруги U2, то кілкість електрики, отримана при заряді, буде рівна кількості електрики, яка віддається мікроамперметру, тобто q=C*(U1-U2). Якщо перемикач П перемикати fx раз в секунду, де fx - вимірювана частота, то кількість електрики, яка протікає через мікроамперметр в секунду, являє собою середнє значення розрядного струму за період, тобто Iср=q*fx=C*(U1-U2)*fx. З даного виразу випливає, що струм який протікає через прилад лінійно зв'язаний з вимірюваною частотою і звідси частота виражається формулою:

.

Якщо ємність C і напругу U=U1-U2 підтримувати постійними, то шкалу мікроамперметра можна проградуювати в одиницях частоти. На цьому принципі працюють конденсаторні частотоміри, в яких перемикання конденсатора із заряду на розряд здійснюється електронним комутатором з частотою перемикання fx при подачі на його вхід напруги вимірюваної частоти. Лінійна залежність між струмом Iср та частотою fx можлива при виконані умови C*(U1-U2)=const. Тому в схемі частотоміра передбачено обмежувач, який підтримує постійною напругу U1 - при заряді і U2 при розряді конденсатора у всьому робочому діапазоні частот. Піддіапазон вимірювальних частот регулюють включенням конденсаторів різної ємності, а також шунтуванням мікроамперметра. Конденсаторні частотоміри застосовують для вимірювання частот 10 Гц 500 кГц з основною похибкою 2%, при рівні вхідної напруги 0,5 200 В.

Електромеханічний частотомір

Електромеханічний частотомір являє собою логометри електромагнітної, електродинамічної феродинамічної, випрямних систем з реактивними опорами в ланцюгах сприймаючих елементів. Працюють вони на принципі зміни реактивного опору в залежності від частоти змінного струму на рис. 3.а приведена схема електродинамічного частотоміра.

Послідовно з котушкою 1 з'єднаний конденсатор С1, який забезпечує зсув по фазі між напругою вимірюваної частоти Ufx і струмом I1 на кут приблизно рівний 90. Нерухома котушка 3, конденсатор С2, індуктивність L2 і опір R2 включені послідовно з рухомою котушкою 2. Векторна діаграма, яка пояснює роботу приладу приведена на рис. 3.б.

Рівняння шкали електродинамічного логометра:

В схемі частотоміра I2 = I, тому cos 2 = 1, cos 1 = cos (90 - 2) = sin 2 = x2/z2 ; де x2, z2 - відповідно реактивний та повний опір ланцюга струму I2; 2 - кут зсуву між Ufx і I2.

Замінивши відношення струмів I1/I2 відношенням обернених опорів віток, отримуємо I1/I2 = z2/z1. Після спрощень отримуємо:

,

так як , , ,

.

Параметри L2 та С2 вибирають таким, щоб на деякій середній частоті діапазону вітка котушки 2 була настроєна в резонанс і струм в ланцюзі рівний I20 (стрілка частотоміра при цьому знаходиться в середньому положенні). При fx > fxо реактивний опір вітки котушки 2 носить індуктивний характер, а при fx < fxо - ємнісний. Отже стрілка частотоміра відхиляється то в одну, то в іншу сторону від середнього положення, в залежності від частоти змінного струму fx.

Рис. 3 Схема електродинамічного частотоміра і векторна діаграма

Мостовий метод вимірювання частоти

Цей метод оснований на використанні частотно залежних мостів змінного струму, які живляться напругою вимірюваної частоти. Найбільш поширеною мостовою схемою для вимірювання частоти являється ємнісний міст, зображений на рис. 4.

Рис. 4 Схема моста для вимірювання частоти

Нехтуючи опором Rд, який складає 1-2 % величини опору R1, отримуємо умову рівноваги для цієї схеми.

З даної умови можна записати два рівняння:

,

.

Невідома частота, при якій міст буде зрівноважений:

Якщо С3 = С4 = С ; R3 = R4 = R і Ri = 2R2, тоді значення частоти визначається виразом fx = 1/(2RC). Частоту зрівноваження можна змінювати конденсаторами або резисторами. Найчастіше встановлюють одинакові ємності і змінюють величину (R3, R4) змінних здвоєних резисторів, шкалу яких можна відградуювати в одиницях частоти. Розширення діапазону вимірювання здійснюють переключенням конденсаторів С3, С4. Мостовий метод вимірювань частоти застотсовують для вимірювання низьких частот в межах 20 Гц 20 кГц при похибці вимірювань 0,5 - 1%. В якості індикатора рівноваги використовують електронний мілівольтметр, а при вимірюванні частот 200 Гц 5 кГц - телефон. Несинусоїдність напруги вимірюваної частоти утруднює процес зрівноваження, міст залишається незрівноваженим за рахунок наявності гармонік і зростає похибка вимірювань.

Методи порівняння

Осцилографічні методи вимірювання частоти. Осцилографічні методи являють собою методи порівняння вимірюваної частоти із зразковою. Перевагою цих методів є їх простота і зручність при достатній точності; застосовують їх в широкому діапазоні частот 10 Гц (10 - 20) МГц.

Метод Ліссажу. Суть цього методу в тому, що горизонтальні та вертикальні пластини осцилографа подаються напруги різних, але кратних частот, відповідно fг і fв, при цьому на екрані осцилографа отримується зображення - фігура Ліссажу. При цьому справедливе відношення fв/fг = nг/nв, де nг та nв число точок переперетину фігури Ліссажу із горизонтальною та вертикальною прямими, які не проходять через точку перетину ліній самої фігури. Для рис. 5 fв/fг = nг/nв = 6/4 = 3/2.

Рис. 5 Зображення фігури Ліссажу на екрані осцилографа

Метод характеризується високою точністю, яка в основному визначається точністю генератора зразкової частоти. Недолік методу: визначити відношеня nг/nв можливо лише при співвідношенні частот не більше 10 і при нерухомому положені або повільному обертані фігури.

Метод кругової розгортки. Якщо напругу одної частоти (зразкової f0) використовувати для отримання кругової розгортки на екрані осцилографа, а напругу іншої (більшої частоти fx) подати на електрод (модулятор), який керує яскравістю світіння трубки (рис. 6.а), то в додатній півперіод цієї напруги яскравість розгортки буде збільшуватись, а у від'ємний зменшуватись. В результаті коло буде складатися з n темних та n світлих штрихів. При чому n = fx/f0. При цілому значені n осцилограма буде нерухомою. Схема досліду і зображення на екрані осцилографа для співвідношення частот fx/f0 = 6 подано на рис. 6.б

Рис. 6 Метод кругової розгортки

Враховуючи дані по моїй курсовій роботі розглянемо детально три способи вимірювання частоти проходження імпульсів.

Вимірювання частоти вхідного сигналу (метод прямого підрахунку).

Основою даного способу є вимірювання частоти шляхом підрахунку кількості імпульсів вхідного сигналу протягом заданого інтервалу часу (часового вікна). В основу роботи електронно-лічильних або цифрових частотомірів покладено метод підрахунку числа імпульсів N, які поступають на вхід приладу з невідомим періодом Tx за калібрований інтервал часу t. Якщо за час t підраховано N імпульсів то середнє значення вимірюваної частоти fx за час t

.

Якщо t = 1с, то виміряна кількість імпульсів дорівнює невідомій частоті fx.

На рис. 7 приведена структурна схема цифрового частотоміра. Досліджувана напруга поступає на вхід частотоміра.

Рис. 7 Структурна схема цифрового частотоміра

Вхідний пристрій (Вх.П.) підсилює або послаблює напругу вхідного сигналу до величини, яка запускає формуючий пристрій (ФП). ФП перетворює напругу вимірюваної частоти в послідовність імпульсів постійної амплітуди, частота слідування яких рівна частоті вимірюваної напруги. Часовий селектор (ЧС) пропускає ці імпульси на електронний лічильник (ЕЛ), протягом часу t, сформованого генератором міток часу (ГМЧ). Результат вимірювань злічується з ЕЛ і відображається на цифровому індикаторі. Блок автоматики (БА) керує процесом вимірювання, здійснює запуск та скид показів лічильника, регулює час індикації від 2 до 5 с. Число імпульсів N (на виході селектора за час t), підраховане лічильником, являє собою вимірювану частоту

.

Для зменшення похибки вимірювання низьких частот в цифрових частотомірах збільшують часові ворота, якщо вимірювана частота не дуже мала; застосовують помножувачі, які дозволяють підвищувати вимірювані частоти в 10n раз; переходять від вимірювання частоти досліджуваного сигналу до вимірювання його періоду.

Основні переваги цифрових частотомірів слідуючі : великий діапазон вимірюваних частот; висока точність вимірювання; можливість відрахунку вимірюваної величини в цифровій формі.

Однак цей метод має істотні недоліки. Якщо тривалість часового вікна обрана постійною, то діапазон вимірюваних частот буде невеликий, і, що ще більш важливо, точність виміру частоти буде істотно залежати від самої частоти. Очевидно, що при низькій вхідній частоті ця похибка буде дуже великою, тому що кількість полічених імпульсів буде дуже малою.

Вимірювання періоду вхідного сигналу (метод періодоміру).

Інший спосіб виміру частоти - непрямий. Основним принципом даного способу є вимірювання періоду вхідного сигналу, для чого підраховується кількість імпульсів зразкової частоти протягом періоду, і потім обчислюється зворотна йому величина. І цей метод має свої недоліки. Тут протилежна ситуація: якщо частота вхідного сигналу велика, то точність виміру періоду (а в моєму випадку і частоти), буде низькою, тому що кількість полічених імпульсів буде занадто малою.

Цифрові методи вимірювання частоти в основному ґрунтуються на підрахунку кількості імпульсів за певний проміжок часу (метод прямого підрахунку), або на зворотному - підрахунок часу, за який пройде задана кількість імпульсів (метод періодоміру). Ці два методи є не досить досконалими, так як в першому випадку існує значна похибка підрахунку, що виникає внаслідок різниці фронту імпульсу і границею інтервалу часу вимірювання. Другий же метод, позбавлений великої похибки, але має недолік в тому, що якщо частота змінюється, то і час виміру змінюється, що створює незручності в обробці результатів і не забезпечує постійної дискретизації по вісі часу.

Розглянуті перші два способи виміру частоти проходження імпульсів не дають можливості виміряти параметри вхідного сигналу з достатньою точністю в широкому діапазоні вхідних частот.

Для усунення вищезазначених недоліків пропонується метод, що об`єднує метод прямого підрахунку і метод періодоміру і має назву метод квантів. Цей метод дає значне зменшення похибки та встановлення постійного часу вимірювання.

Метод квантів.

Щоб забезпечити необхідну точність виміру частоти (періоду) вхідного сигналу у всьому частотному діапазоні, пропонується вимірювати тривалість заданої кількості періодів вхідного сигналу, а потім обчислювати частоту по формулі:

,

де f - частота опорного тактового генератора,

M - кількість періодів вхідного сигналу,

N - кількість періодів тактового генератора.

Задаючи величину M і одержуючи величину N, ми обчислюємо F. При цьому тому що відносна похибка вимірювання зворотно-пропорційна N, тому вибираючи M і N, ми можемо забезпечити задану точність виміру. Алгоритм вибору тут не дуже складний, і за кілька циклів виміру можна визначити частоту з потрібною похибкою.

Один з варіантів алгоритму зводиться до того, що спочатку проводиться вимір тривалості одного періоду вхідного сигналу, а потім (якщо необхідно) кількість вимірюваних періодів вхідного сигналу збільшується в стільки разів, щоб похибка підрахунку імпульсів тактової частоти була нижче заданої. При цьому буде потрібно 1, 2 або максимум 3 цикли виміру.

Інший варіант алгоритму (адаптивний) полягає в тому, що в першому циклі даного виміру береться те число періодів вхідного сигналу, що було отримано в попередньому вимірі (тобто тут ми рахуємо, що частота вхідного сигналу не змінюється дуже швидко, що справедливо в більшості випадків). При цьому досить часто досить тільки одного циклу виміру.

За допомогою опорного генератора формуються первинні відліки з частотою повторення.

Нехай точка А буде моментом у який починається активна робота частотоміра, тобто в цей момент система запуску лічби подає сигнал старту, а отже можна вважати, що це є точкою відносного нуля, і саме в цей момент в таймері загального часу починає формуватися інтервал довжиною.

Рис. 8 Структурна схема методу квантів

В проміжку [0, tо] система запуску лічби налаштовується на один із фронтів (в даному випадку на зростаючий) і в момент приходу фронту подає сигнал запуску таймеру квантів.

В свою чергу таймер квантів починає формувати внутрішній інтервал часу з затримкою що складає tз, тобто, інтервал внутрішнього часу починає формуватись у момент часу (tо +tз).

Рис. 9 Часова діаграма методу

У момент часу t, лічильник імпульсів має переповнення, так як вже нарахував k імпульсів (в даному випадку 5), що складають один квант, і скидається на нуль. При цьому він формує один імпульс і подає його на лічильник квантів з затримкою, що складає. В цей самий момент, а саме в момент, система запису значення часу після кванта записує значення часу від початку формування інтервалу внутрішнього інтервалу часу, а отже записує значення що рівне.

Такий процес продовжується до моменту, тобто до моменту коли таймер загального часу завершить свою роботу. Це є сигналом до передачі даних - кількість квантів по k імпульсів, що підраховані лічильником квантів; - кількість імпульсів опорного генератора що складають інтервал загального часу і підраховані таймером загального часу; - кількість імпульсів опорного генератора, що складають внутрішній інтервал часу, і підраховані таймером квантів.

3. Розробка структурної схеми системи

Розробити структурну схему мікропроцесорного пристрою для вимірювання частоти сигналу трикутної форми можна декількома варіантами. Нижче наведенні приклади існуючих систем.

Рисунок 3.1 Структурна схема варіант №1

Рисунок 3.2 Структурна схема варіант №2

Рисунок 3.3 Структурна схема варіант №3

І обраний мною варіант структурною схеми:

Рисунок 3.4 Структурна схема варіант №4

Отже обрана мною структурна схема мікропроцесорного пристрою для вимірювання тривалості трикутного імпульсу, зображеного на Рис. 3.4, складається з таких основних блоків як:

- компаратор (Комп.);

- мікроконтролер (МК) ;

- перетворювач коду інформаційної моделі (ПКІМ);

- пристрій відображення (ПВ).

Я обрала даний метод реалізації структурної схеми по причині простоти конструкторського вирішення і дешевизни пристрою. Тим паче існують мікроконтролери зі встроєними компараторами і таймерами що покращує якість підрахунку періоду сигналу. Якщо порівняти даний метод вирішення поставленої задачі з наведеними вище, однозначно мій вибір зупиняється на Варіанті №4.

Центральною частиною даного рішення приладу являє собою одно кристальний мікроконтролер, який управляє роботою усього приладу, здійснює збір, аналіз та виведення інформації про наш сигнал на семи сегментній індикатор. Також в самому мікроконтролері передбачений встроєний компаратор та таймер, що забезпечують більшу точність виміру.

Для забезпечення нормальної роботі мікроконтролер та отримання потрібних результатів буде використовуватись кварцовий резонатор тактової частоті розрахованій заздалегідь.

Також однією із частин приладу є компаратор. Який являється аналізатором рівня сигналу. Він обмежений не великим діапазоном вхідних напруг, тому на його вхід потрібно буде встановити стабілізатор по напрузі.

Елементом виведення інформації в нашому приладі буде семи сегментний індикатор, який буде указувати середнє значення періоду сигналу за 6 вимірів з заданою точністю відображення. Але для його коректної роботі будуть встановлені перед ним дешифратори.

4. Обґрунтування вимог до основних елементів

На сучасному етапі розвитку стало можливим підібрати елементи, що забезпечили б майже будь-які вимоги конструктора-розробника. Провідні фірми розробники елементної бази, намагаються представити на ринок більш конкурентну здібну продукцію, покращуючи продукт його якість та доведення його характеристик до близьких до ідеалу. Така ситуація на ринку практично вирівнює основні показники елементної бази різних виробників, залишаючи несуттєві переваги один перед одним. Основним фактором вибору елементів того чи іншого елемента зводитися до ціни продукту і наявності його на національному ринку, та складність доставки.

Ще один фактор який ми враховуємо при виборі елементів схеми - це забезпечення в повній мірі вимог, поставлених до елементу, можливі додаткові функції, що не суперечать основній структурі. Приведені викладки дозволяють використовувати спеціалізовані мікросхеми та елементи різних фірм не зважаючи на уніфікацію елементної базі, врахувавши лише сумісність вхідних-вихідних параметрів цих мікросхем та радіоелементів.

Запишемо основні вимоги до складових частин приладу для вимірювання тривалості трикутного імпульсу:

1. Компаратор(АЦП елементарний) - це елемент порівняння, який широко використовується в системах контролю та автоматичного керування. Компаратори відносяться до елементів імпульсної техніки. Компаратор, виконаний на базі операційного підсилювача (ОП), порівнює вимірювану напругу Uвх, яка подається на один із входів (переважно на інвертувальний), із опорною напругою (наперед заданою) Uоп, яка подана на інший вхід. Опорна напруга є незмінною в часі, додатньої чи від'ємної полярності, а вхідна напруга -- змінюється. Коли Uвх=Uоп вихідна напруга ОП змінює свій знак на протилежний (з U+вих.макс на U-вих.макс чи навпаки). Тому компаратор має ще назву «нуль-орган», оскільки зміна полярності вихідної напруги (перемикання) відбувається за умови, що uвх- Uоп=0, де Uоп -- задана напруга.

Аналоговий компаратор - це пристрій, призначений для порівняння двох сигналів. Найпростіша схема компаратора може бути побудована на операційному підсилювачі без зворотного зв'язку. На один із входів операційного підсилювача подається відоме опорне напруга, на іншій - порівнюваний аналоговий сигнал, наприклад сигнал з датчика.

Рисунок 4.1 Схема включення компаратора

Поведінка операційного підсилювача без зворотного зв'язку описується рівнянням: Uout = (Uin1 - Uin2)*G, де Uout - напруга на виході операційного підсилювача, Uin1 - напруга на неінвертуючий вході, Uin2 - напруга на інвертується вході, G - коефіцієнт посилення з розімкненою петлею зворотного зв'язку.

Існує декілька схем включення комутаторів, а саме:

Пряме:

Рисунок 4.2 Схема включення компаратора пряма

Інверсне:

Рисунок 4.3 Схема включення компаратора інверсна

Тригер Шміта:

Рисунок 4.4 Схема включення компаратора як тригера Шміта пряме

Всі описані варіанти є досить популярними, але в перших двох реалізаціях є не достаток того що схеми дуже сильно чутливі до шуму, в третьому рішенні ця проблема вирішена. Але існує четверте вирішення проблеми, а саме використання в строєних компараторів. Даний варіант є більш кращим так як це знімає з нас розрахунок напруги на компараторі так як відповідний рівень вже є настроєним.

2. Мікроконтролер - це виконана у вигляді мікросхеми спеціалізована мікропроцесорна система, що включає мікропроцесор, блоки пам'яті для збереження коду програм і даних, порти вводу-виводу і блоки зі спеціальними функціями (лічильники, компаратори, АЦП та інші).

Використовується для керування електронними пристроями. По суті, це є однокристальний комп'ютер, здатний виконувати прості завдання. Використання однієї мікросхеми значно знижує розміри, енергоспоживання і вартість пристроїв, побудованих на базі мікроконтролерів.

Обираємо мікросхему родини PIC16F які наведенні в таблиці із сайту виробника. Я зупинила свій вибір на мікросхемі PIC16F628. Він є 16 розрядною схемою з максимальною робочою частотою 20 МГц що є більш ніж достатньою для точного визначення періоду тривалості імпульсу. Пам'ять мікропроцесора розрахована на 2048 слів, що достатньо для нашої програми. А також подальшого модифікування для роботи з більшим діапазоном частот. ОЗУ складає 128 байт. Також МК є одним із самих дешевших на ринку.

Також мікроконтролер містить 2 компаратори що може в подальшому використовуватись для порівняння двох сигналів або роботи в два канали. Також міститься програмний таймер що полегшує програмний підрахунок тривалості та підвищує точність вимірів. Також мікроконтролер повністю відповідає характеристикам кліматичних умов, а саме стандартним кімнатним умовам.

3. Перетворювач двійкового коду в код семисегментного індикатора служить для переведення однієї форма числа в іншу. З мікроконтролера надходить двійковий код, а оскільки потрібно відобразити інформацію на семисегментному світловому індикаторі у вигляді арабських цифр. То ми вимушені використати даний блок. Але даний блок в наш час досить часто є вбудованим в блоки з семи сегментними світловими індикаторами.

4. Пристрій відображення інформації(ПВІ) широко використовується для для виведення інформації 7-сегментный индикатор с общим катодом.

Семисегментний індикатор - пристрій відображення цифрової інформації. Це - найбільш проста реалізація індикатора, який може відображати арабські цифри. Для відображення букв використовуються більш складні багатосегментні і матричні індикатори.

Саме перетворення двійкового коду проходить ще в мікроконтролері, а на вихід подається 7 бітів інформації для того щоб засвітити той чи інший символ на тому чи іншому індикаторі. В наш час такі індикатори випускають в панелях що є досить зручним в плані монтажу.

5. Розробка принципової схеми приладу

Принципова схема мікропроцесорного приладу вимірювання частоти сигналу трикутної імпульсу приведена в додатку А.

Для даної схеми було обрано спосіб заміру тривалості через компараторний вхід мікроконтролера, але напряму підключення є небезпечним так як при надмірній напрузі мікроконтролер може війти з ладу,а також перед вимірюванням частоти вхідного сигналу, сигнал повинен бути перетворений в прямокутний. Тому для цієї мети використовується схема оптичної розв'язки з Оптрон 4N25. Таким чином, вхідний сигнал надійно ізольований від мікроконтролера і перетворюється на меандр. Амплітуда сигналу не повинна перевищувати 15В. Якщо це відбудеться, резистор 1кОм може згоріти. Якщо ви хочете виміряти частоту мережі, ви повинні використовувати 220В/9В трансформатор. Блок схема оптрона наведена на рис.5.1.

Рисунок 5.1 Блок схема оптрону 4N25

Всі номінали та самі елементи були взяті із дата шиту сайту виробника мікроконтролера як рекомендоване рішення. Сама схема розвязки наведена у додатку Б.

Основні характеристики оптрону :

Таблиця 5.1

IF,мА

от 0 до 80

VCE (макс.),В

30

CTR,%

от 20 до...

tr (тип.),мкс

3

tf (тип.),мкс

3

TA,°C

от -55 до 100

Корпус

DIP-6 DIP-6-400

4N25 - оптрон загального призначення, що складається із світло випромінюючого діода на вході, оптично пов'язаного з фототранзистором на виході. Оптрон доступний в пластиковому 6-вивідному корпусі DIP-6 з опціями збільшеного відстані між рядами висновків і формованими виводами для поверхневого монтажу. Типове час відгуку складає 3 мс, а коефіцієнт посилення по струму мінімум 20% при прямому вхідному струмі 10 мА.

Мікроконтролер. В якості мікроконтролера використаємо мікросхему PIC16F628 - 04/P. Його характеристики приведені нижче:

1. Корпус (розмір) 18-DIP (0.300 ", 7.62mm)

2. Робоча температура 0 ° C ~ 70 ° C

3. Тип осцилятора Internal

4. Напруга джерела (Vcc / Vdd) 3 V ~ 5.5 V

5. Розмір пам'яті 224 x 8

6. EEPROM Size 128 x 8

7. Тип програмованої пам'яті FLASH

8. Розмір програмованої пам'яті 3.5KB (2K x 14)

9. Число вводів / висновків 16

10. Периферія Brown-out Detect / Reset, POR, PWM, WDT

11. Підключення UART / USART

12. Швидкість 4MHz

13. Розмір ядра 8-Bit

Мікросхема родини PIC16F62х:

Рисунок 5.2 PIC16F62х розподілення виводів

Таблиця 5.2 Призначення виводів функції та їх описання

Назва

Функція

Тип входу

Тип виходу

Опис

RA0/AN0

RA0

ST

CMOS

двонаправлений порт введення / виводу

AN0

AN

-

Аналоговий вхід компаратора

RA1/AN1

RA1

ST

CMOS

двонаправлений порт введення / виводу

AN1

AN

Аналоговий вхід компаратора

RA2/AN2/VREF

RA2

ST

CMOS

двонаправлений порт введення / виводу

AN2

AN

-

Аналоговий вхід компаратора

VREF

-

CMOS

вихід VREF

RA3/AN3/CMP1

RA3

ST

CMOS

двонаправлений порт введення / виводу

AN3

AN

-

Аналоговий вхід компаратора

CMP1

-

CMOS 1

вихід компаратора

RA4/T0CKI/CMP2

RA4

ST

OD

двонаправлений порт введення / виводу

T0CKI

ST

-

Timer0- тактовий вхід

CMP2

-

OD

виходу компаратора 2

RA5/MCLR/VPP

RA5

ST

-

Вхідний порт

MCLR

ST

-

Master Clear

VPP

-

-

Програмування вхідної напруги. при налаштуванні як MCLR, цей висновок є активним низьким скидається в пристрої. Напруга на MCLR / VPP не повинніперевищувати VDD під час нормальної роботи пристрою.

RA6/OSC2/CLKOUT

RA6

ST

CMOS

двонаправлений порт введення / виводу

OSC2

XTAL

-

вихід кварцового генератора. Підключається до кристалом або резонатора в режимі Crystal Oscillator.

CLKOUT

-

CMOS

У ER / INTRC режимі OSC2 висновок може виводити CLKOUT, ??яке має 1 /4 частоти OSC1

RA7/OSC1/CLKIN

RA7

ST

CMOS

двонаправлений порт введення / виводу

OSC1

XTAL

-

кварцовий генератор вхідного

CLKIN

ST

-

Вхід зовнішнього джерела синхронізації. ER зміщення штифта

RB0/INT

RB0

TTL

CMOS

двонаправлений порт введення / виведення. Може бути програмне забезпечення запрограмовані для внутрішнього слабкі підтягувань.

INT

ST

-

Зовнішнє переривання

RB1/RX/DT

RB1

TTL

CMOS

двонаправлений порт введення / виведення. Може бути програмне забезпечення запрограмовані для внутрішнього слабкі підтягувань

RX

ST

-

USART отримаєте пін

DT

ST

CMOS

синхронні дані I / O

RB2/TX/CK

RB2

TTL

CMOS

двонаправлений порт введення / виведення

TX

-

CMOS

виведенні передачі USART

CK

ST

CMOS

синхроимпульсом I / O. Може бути програмне забезпечення апрограмовані для внутрішнього слабкі підтягувань

RB3/CCP1

RB3

TTL

CMOS

двонаправлений порт введення / виведення. Може бути програмне забезпечення запрограмовані для внутрішнього слабкі підтягувань

CCP1

ST

CMOS

захоплення / порівняння / PWM I / O

Назва

Функція

Тип входу

Тип виходу

Опис

RB4/PGM

RB4

TTL

CMOS

двонаправлений порт введення / виведення. Може бути програмне забезпечення запрограмовані для внутрішнього слабкі підтягувань.

PGM

ST

-

Низька напруга програмування вхідний контакт. перериваньна -контактний зміни. При низькій напрузі програми Мін включена, переривання -на- Змінити PINі слабкі резистор відключені.

RB5

RB5

TTL

CMOS

двонаправлений порт введення / виведення. Переривання -на- контактнийзміниться. Програмне забезпечення може бути запрограмований наслабкі внутрішні що підтягують.

RB6/T1OSO/T1CKI/PGC

RB6

TTL

CMOS

двонаправлений порт введення / виведення. Переривання -на- контактний зміниться. Програмне забезпечення може бути запрограмований на лабкі внутрішні що підтягують.

T1OSO

-

XTAL

осцилятор Вихід Таймер1

T1CKI

ST

-

тактовий вхід таймера 1

PGC

ST

-

ICSP ™ Програмування годинник.

RB7/T1OSI/PGD

RB7

TTL

CMOS

двонаправлений порт введення / виведення. Переривання -на- контактний зміниться. Програмне забезпечення може бути запрограмований на лабкі внутрішні що підтягують.

T1OSI

XTAL

-

Таймер 1 вхід генератора. Пробудження від снуна виведенні зміни. Програмне забезпечення може бути запрограмовано для внутрішніх слабкі підтягувань.

PGD

ST

CMOS

?? ICSP Data I / O

VSS

VSS

Power

- Нульовий потенціал для логіки і портів введення / виводу

VDD

VDD

Power

Позитивні живлення для логіки і портів введення / виводу

Легенда : O = вихід

CMOS=CMOS Вихідна

P=потужність

- не використовується

I = вхід

ST = вхід тригера Шмітта

TTL = TTL Вхідний

OD = виходом з відкритим стоком

AN = аналоговий

Архітектура мікроконтролера PIC16FXXX:

Рисунок 5.3 Структурна схема мікроконтролерів сімейства PIC16FXXX

Список основних регістрів мікроконтролера:

f0... регістр коственой адресації IND0

f1... регістр таймера / лічільника TMR0

f2... програмній счетчик PCL

f3... регістри слова стану STATUS

f4... регістр вибору FSR

f5, f6... регістри введення / виводу PORTA, PORTB

f8, f9... регістри ЕППЗУ EEDATA, EEADR

регістри загального призначення pасположенного по адpес 0Ch-2Fh

спеціальні регістри W, INTCON, OPTION

Для того щоб коректно відобразити час затримки нам потрібно використати 7-сегментній індикатор. Перетворення двійкового коду в код для 7-сегментного коду здійснюється шляхом підключення спец бібліотеки в програму прошивки мікроконтролера, дана функція передбачена фірмою виробником.

Так як в мене 4 індикатори то мені потрібно буде використати підключення їх по методу мультиплексування для економії виходів портів. Такий метод іще має назву динамічної індикації.

Оберу семи сегментній індикатор марки GNS-3911BD так як він є досить дешевім і розповсюдженим. Плюсом також є його будова що дозволяє монтувати його як окремо від мікросхеми так і на мікросхемі, а також з'єднувати їх у блоки.

Параметри індикатора:

Колір - ультрачервоний;

Iпр. - 20mA;

Uпр. - 18 В;

Пікова довжина хвилі - 660 нм;

Інтенсивність світіння - 25 мкд;

Габарити 10*13;

При використанні прямого струму через світло діодний сегмент починає випромінювати світло червоного, зеленого, або жовто-зеленого кольору. Відповідне співвідношення палаючих сегментів утворюють або літеру або число.

Для виконання завдання обрали індикатори типу КЛЦ302 - 7-сегментного індикатора з точкою і загальним анодом, зеленого кольору світіння. Також використовується стандартна схема включення світло діодів через загальний катод та транзистори. Також резистори перетворювачі напруги в струм.

Один із важливих вузлів радіотехнічної апаратури - Стабілізатор напруги. Раніше такі схеми будували на стабілітронах та транзисторах. Загальне число елементів було досить великим. Для нашої принципової схеми потрібен стабілізатор напруги з вихідною напругою +5В. Обираємо мікросхему стабілізатора КР7805ЕН501А. Параметри:

Uвих=5В

Iмакс=0,1А

Pмакс=0,5Вт

Включення - плюсове;

Корпус - КТ-26.

Дана частина схем изображена в додатку В. Всі підрахунки та сама схема взята із рекомендацій сайта виробника стабілізатора напруги. А саме із повної документації характеристик елементу. Нижче приведений Рисунок структурної схеми стабілізатора:

Рисунок 5.4 Принципова схема включення стабілізатора напруги

6. Розробка блок-схеми алгоритму і програми реалізації алгоритму

Блок схема представлена у додатку Д.

Сама програма реалізації представлена у додатку Г.

Мною було вибрано створення програми в спец продукті від фірми виробника мікроконтролера PIC C. Суттю даного програмного забезпечення є те що можливо написати програму реалізації алгоритму на мові програмуванні висока рівня Си.

В даному продукті вже передбачені системою конкретні бібліотеки роботи з тим чи іншим блоком обраного мікроконтролера, також даний метод є більш вигіднішим з точки зору економії часу та полегшення самого процесу реалізації алгоритму, а також підвищення швидкодії та компактності самого коду. Після написання самого коду, можлива його компіляція для обраного мікроконтролера. Тому в додатку Е наведений код НЕХ файлу прошивки мікроконтролера.

Також всю інформацію по програмуванні в даному продукті можна знайти на сайті виробнику мікроконтролера. Також у відповідних додатках буде наведена додаткова інформація по кодовім словам та функціям.

7. Розрахунок параметрів системи

Розрахуємо основні параметри мікропроцесорної: час виконання програм, розподіл та об'єм пам'яті, орієнтовне енергоспоживання.

1. Частота дискретизації - визначає кількість сигналів за секунду при перетворенні безперервного сигналу в дискретний сигнал.

Період дискретизації вибирають з умови:

t=1/Fk=0.5*Fb

де Fk - частота дискретизації,

Fb - максимальна частота.

Цей вираз є не що інше, як теорема В.А. Котельникова, яка свідчить, що частота дискретизації повинна бути вдвічі більше максимальної частоти сигналу.

Fk=0.5*Fb

Задана максимальна частота роботи складає 500Гц, отже наша частота дискретизації мінімальна повинна становити Fк= 2*500 = 1000 Гц, але якщо враховувати що ми повинні забезпечити точність 0,1мс то наші обрахунки зміняться, а саме Fк= 2*10*1000 = 20000 Гц.

При таких умовах період дискретизації буде становити:

Т= 1/20000=0,5мкс

2. Номінали резисторів на світлодіодні індикатори потрібно розразувати так як вони роблять від постійного струму, а елементарним перетворювачем напруги в струм є резистори. Отже за простим законом ома ми може розрахувати їх номінали знаючи напругу вихода мікроконтролера та необхідний струм для індикатора:

U=5.5 В

І= 16,5мА

Отже розрахуємо номінали резисторів:

R=U/I=5,5/16,5=330 Ом (Округленні до існуючої елементної бази)

3. Орієнтоване енергоспоживання

P=U*?I

Істаб.=100мА,

Імк=220мА

Іінд..=4*16,5=66мА

Тоді ?I=382мА

Враховуючи що напруга живлення 5 В отримаємо:

Р=5*0,382=1,91 Вт

4. Об'єм пам'яті

Для того щоб розрахувати кількість необхідної затраченої пам'яті я компілювала код та підрахувала кількість шістнадцяткових чисел в коді, так як НЕХ файл прошивки являє собою 16-тичний код.

Отже скориставшись онлайн програмою: http://www.8nog.com/counter/index.php, я отримала значення 3790 символів. Звідси так як 1 байт це 2 числа 16-вої форми числення:

Vпам.=3790/2=1895 байт, а отже входить в нашу пам'ять 2048 байт.

5. Розрахунок тривалість роботи коду. Використовується програмний лічильник який записує отриманий результат в мікросекундах. Але для того щоб програма коректно відображала тривалість в мілісекундах від 0 до 99 з точністю 0,01 потрібно отриманий результат із лічильника множити на 10 а саме так як лічильник рахує по 1 мікросекунді(0,001мс) маємо:

0,01мс/0,001мс=10

Отже коефіцієнт який урівняє в змінній чисельне значення із індикаторами дорівнює 10.

Діапазон виміру частоти становить 5-100Гц.

Висновки

В даній курсовій роботі було розроблено мікропроцесорний пристрій для вимірювання частоти сигналу трикутної форми. Було докладно розглянуто сучасну елементну базу, побудована структурна та принципова схема пристрою. У роботі було розглянуто дії приладу в цілому та окремих блоків.

У рамках виконаної курсової роботи була розроблена структурна та принципова схеми пристрою, проведено аналіз існуючих рішень розробки даної схеми, підібрано елементну базу, написано алгоритм програми та саму програму на мові Асемблер, що здійснює управління всіма блоками приладу, розраховані основні параметри системи.

В результаті роботи було спроектовано пристрій вимірювання частоти сигналу трикутної форми. Діапазон виміру становить від 5 Гц до 100 Гц.

Робота виконана в повному обсязі на 100, якісно - відповідає вимогам до курсової роботи та технічного завдання, і виконана вчасно - у встановлений термін.

Список викориснаних літературних джерел

1. А.О. Новацький, П.М. Повідайко «Організація та застосування однокристальних мікроЕОМ» Навчальний посібник. - Житомир:ЖІТІ, 2001.

2. Пpактинeскoе пoсoбие пo yнeбнoмy кoнстpyиpoвaнию PЄA. B.И.БeлинскиЙ, B.П.Гoндoла Дp. Киев: Bищa Шкoлa, 1992.

3. Интегральние мікросхеми и их зарубежние аналоги: Справочник. Том7. А. В. Нефедов. - М.:ИП РадиоСофт. 1999г.

4. Интегральние мікросхеми справочник. Табарин Б.В. Лунин Л.Ф.

5. Ю.Н. «Радио и связь», 1983г.

6. С.Т. Усатенко, Т.К. Каченюк, М.В. Терехова «Віконання електричних схем по ЕСКД» Довідник, 1992г.

7. Руководство пользователя MPASM microchip.com

8. Руководство пользователя MPLAB IDE microchip.com

9. www.microchip.com

10. http://sevntu.com.ua/jspui/bitstream/123456789/4348/1/d050143.pdf

11. http://we.easyelectronics.ru/PIC/programmirovanie-pic-na-s-ccs-pic-c-compiler.html

12. http://pic16f628.narod.ru/

Размещено на Allbest.ru

...

Подобные документы

  • Розробка структурної схеми системи управління, головні вимоги до основних елементів. Обґрунтування та вибір елементної бази. Блок-схема алгоритму і програми реалізації закону управління (лістинг програми). Зміст програми керування мікроконтроллером.

    курсовая работа [170,7 K], добавлен 28.08.2012

  • Синтез аналогової та структурної схеми цифрового фільтру. Опис програми обробки інформації. Оцінка верхньої фінітної частоти вхідного аналогового сигналу. Структурна схема та алгоритм функціонування пристрою мікропроцесорної обробки аналогової інформації.

    курсовая работа [710,9 K], добавлен 12.03.2010

  • Розробка компонентів технічного і програмного забезпечення мікропроцесорного пристрою, аналогово-цифровий і цифро-аналоговий перетворювачі. Синтез структурної схеми фільтру. Структурна схема та алгоритм функціонування пристрою. Програми вводу, виводу.

    курсовая работа [869,6 K], добавлен 15.02.2011

  • Розробка структурної та принципипової схеми мікропроцесорної системи, їх структура и головні елементи. Розробка програми мікропроцесора, а також пристрою для блоку воду-виводу, схеми дешифратора. Інтерфейс і закономірності зв’язку в комп’ютером.

    курсовая работа [639,9 K], добавлен 09.09.2015

  • Розробка структурної схеми. Опис основних елементів мікропроцесора. Вибір підходящої структури процесорного елемента та його опис. Реалізація пристрою управління. Розробка мікропрограми та загальний алгоритм виконання процесором команди SBR Rm, B.

    контрольная работа [83,6 K], добавлен 04.06.2009

  • Призначення менеджеру пристроїв. Обґрунтування вибору мови програмування. Розробка структурної схеми і опис діалогового інтерфейсу програми. Блок-схема програмного додатку, основні функції і алгоритм його роботи. Методики і інструкція його тестування.

    курсовая работа [3,4 M], добавлен 17.11.2014

  • Проектування програми керування мікропроцесорним пристроєм світлової індикації на мові С та Assembler. Розробка алгоритму роботи програми, структурної та електричної принципових схем. Здійснення комп’ютерного моделювання для перевірки розроблених програм.

    курсовая работа [710,7 K], добавлен 04.12.2014

  • Створення схеми електричної принципової МР-3 програвача – приставки до ПК, структурної та загальної схеми. Призначення проектуємого пристрою. Принцип роботи окремих ВІС. Розробка програми тестування роботи пристрою, розрахунок надійності його роботи.

    курсовая работа [527,4 K], добавлен 24.03.2009

  • Розробка програми для вирішення графічної задачі. При вирішенні задачі необхідно cтворювати програму у середовищі програмування Turbo Pascal. Розробка алгоритму функціонування програми і надання блок-схеми алгоритму. Демонстрація роботи програми.

    курсовая работа [1,3 M], добавлен 23.06.2010

  • Механічні пристрої вимірювання. Рішення на базі лазерних трекерів. Фізичні основи оптичних систем контролю. Технологія DirectShow та її призначення. Розробка схеми інформаційних потоків та функціональної схеми роботи системи дистанційного контролю.

    дипломная работа [2,7 M], добавлен 22.10.2012

  • Розробка фільтру для обробки цифрових сигналів. Блок обробки реалізується на цифрових мікросхемах середньої ступені інтеграції. Аналіз вхідного сигналу, ідеального сигналу та шуму. Обґрунтування вибору фільтрів та алгоритму обробки вхідного сигналу.

    курсовая работа [504,4 K], добавлен 18.09.2010

  • Визначення параметрів цифрового сигналу на виході АЦП. Розробка структури цифрового лінійного тракту, розрахунок його завадостійкості. Аналіз роботи демодулятора. Ймовірність помилкового прийому комбінації коду Хемінга та безнадлишкового коду МТК-2.

    курсовая работа [1,1 M], добавлен 06.08.2013

  • Створення схеми електричної принципової годинника-будильника-термометра з ІЧ ПК. Призначення проектуємого пристрою. Розробка структурнї та електричної принципової схеми пристрою та програми тестування роботи пристрою, розрахунок надійності його роботи.

    курсовая работа [935,6 K], добавлен 23.03.2009

  • Розробка структурної та електричної принципової схеми комп’ютерного засобу вимірювання показників тиску і температури у кліматичній камері. Електричний розрахунок джерела живлення, тактового генератора мікроконтролера. Розрахунок похибки вимірювання.

    курсовая работа [289,0 K], добавлен 11.02.2010

  • Характеристики вузлів системи автоматичного закривання жалюзі. Розробка схеми електричної функціональної. Блок-схема алгоритму роботи пристрою. Середовище розробки програмної частини пристрою. Основні компоненти розробки програмної частини системи.

    курсовая работа [1,0 M], добавлен 06.12.2014

  • Принципи роботи команд мікросхеми 24LC08: читання, запису даних. Опис і блок-схеми програми і підпрограм, що обслуговує пристрій. Вибір середовища програмування і розробка програми, яка забезпечує можливість демонстрації команд. Проведення тестування.

    курсовая работа [114,2 K], добавлен 08.12.2011

  • Математичне моделювання та створення програмних комплексів типу Nastran або Ansys. Рівняння методу незалежних струмів у матрично-векторній формі. Побудова блок-схеми алгоритму. Характеристика і умовні позначення даних. Текст та результати роботи програми.

    контрольная работа [1006,6 K], добавлен 10.07.2012

  • Відомі підходи до реалізації потокового шифрування даних. Регістр зсуву з оберненими зв’язками. Комбінуючий та фільтруючий генератор. Потоковий шифр Alpha1. Розробка структурної схеми алгоритму шифрування Alpha1. Розробка блоку керування пристрою.

    курсовая работа [185,6 K], добавлен 09.04.2013

  • Вибір первинних вимірювальних перетворювачів та виконавчих механізмів, мікропроцесорних засобів автоматизації. Розробка блок-схеми системи автоматичного керування, програми функціонування вибраних засобів, принципових електричних схем зовнішніх з’єднань.

    курсовая работа [176,5 K], добавлен 08.03.2015

  • Використання мікроконтролера ATmega16 при моделювання схеми та написанні програми. Характеристики аналогово-цифрового перетворювача. Розробка блок-схеми ініціалізації контролера, алгоритму отримання результату перетворень та головного циклу програми.

    курсовая работа [691,7 K], добавлен 22.04.2014

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.