Розробка спеціалізованого блоку індикації стилізованих символів 0-1-5-7 на двохрозрядному семисигментному індикаторі з частотою генератора 7 Гц. Реалізація схеми перетворювача коду на базисі АБО-НІ

Проектування перетворювачів коду, що працюють за заданими умовами перетворення кодів і не випускаються в інтегральному виконанні однією мікросхемою. Обґрунтування вибору лічильника імпульсів, розробка схеми перетворювача коду і розрахунок надійності.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык украинский
Дата добавления 29.10.2014
Размер файла 1,8 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ

Смілянський технікум харчових технологій

Національного університету харчових технологій

Циклова комісія фахових дисциплін автоматизації технологічних процесів

КУРСОВИЙ ПРОЕКТ
з “Електроніки і мікросхемотехніки
на тему: Розробка спеціалізованого блоку індикації стилізованих символів 0-1-5-7 на двохрозрядному семисигментному індикаторі з частотою генератора 7 Гц. Реалізація схеми перетворювача коду на базисі АБО-НІ.
Студента 4 курсу ОКС10 групи
Тимошенко Едуард Володимирович
Керівник Заславний В.В.
Сміла 2013
Зміст
Вступ
1. Розробка схеми структурної електричної
2. Розробка та розрахунки схем принципових електричних
2.1 Розрахунок генератора
2.2 Обґрунтування вибору лічильника імпульсів
2.3 Розробка схеми перетворювача коду
2.4 Розрахунок потужності
2.5 Розрахунок блока живлення
2.6 Обґрунтування елементної бази
2.7 Розрахунок надійності
2.8 Опис принципу роботи схеми принципової електричної
Висновки
Список літератури
Додатки
Додаток Б Електронна модель схеми цифрового автомату в середовищі Electronics WorkBench

лічильник перетворювач код мікросхема

Анотація

Даний курсовий проект присвячений розробці спеціалізованого блоку індикації, що входить до складу відеоконтролюючого пристрою. На вхід перетворювача коду подається код з лічильника імпульсів у форматі 1-2-4-8. На двох розрядах індикаторах мають висвітлюватися стилі-зовані символи 0-1-5-7 і символи та . Робота схеми індикації синхронізована генератором з частотою 7Гц. Реалізація схеми перетворювача коду на базі АБО-НІ.

Основною метою створення системи є розробка спеціалізованого блоку індикації стилізованих символів на двохрозрядному семи-сегментному індикаторі.

Метою виконання курсового проекту є оволодіння навичками проектування перетворювачів коду, що працюють за заданими умовами перетворення кодів і не випускаються в інтегральному виконанні однією мікросхемою.

Розроблена схема була перевірена на працездатність в середовищі комп'ютерного моделювання електричних схем Electronics WorkBench 5.12.

Об'єм тексту пояснювальної записки ____ сторінок.

Додатків _2_ шт.

Графічний матеріал на _2_ листах.

Вступ

Розвиток мікроелектроніки сприял появі малогабаритних, високонадійних і економічних обчислювальних пристроїв на основі цифрових мікросхем. Вимоги збільшення швидкодії та зменшення потужності споживання обчислювальних засобів призвело до створення серій цифрових мікросхем.

Для відображення цифрової інформації в системах на базі мікроконтролерів використовуються світлодіодні семисегментні індикатори. Вони прості в управлінні, має високу яскравість, широкий діапазон робочих температур і низьку вартість. До недоліків світлодіодних індикаторів відносяться - високе енергоспоживання, відсутність керуючого контролера і мізерні можливості щодо виведення буквеної інформації.

1. Розробка схеми електричної структурної

Після аналізу завдання та перегляду існуючих аналогів було прийнято рішення про розробку власної структурної схеми цифрового автомату та його перетворювача коду.

Структурна схема цифрового автомату (рис.1.1) складається з генератора тактових імпульсів, який виробляє послідовність імпульсів з заданою частотою. Ці імпульси надходять на вхід лічильника одиниць, який формує на виході код у вигляді 1-2-4-8. Даний код потрапляє на дешифратор А, який перетворює його в сигнали, що надходять на входи семисегментного індикатора А. Індикатор відтворює стилізовані цифри, а також висвітлює спеціальний символ (за варіантом).

Коли дешифратор А сформує на своїх виходах значення коду 1010, то на лічильник десятків надійде одиничний імпульс, що змінить його стан на «+1». Даний код потрапляє на дешифратор В, який перетворює його в сигнали, що надходять на входи семисегментного індикатора В. Індикатор відтворює стилізовані цифри, а також висвітлює спеціальний символ (за варіантом).

Размещено на http://www.allbest.ru/

Рисунок 1.1 Схема електрична структурна перетворювача коду

2. Розробка та розрахунки схем принципових електричних

2.1 Розрахунок генератора імпульсів

Найбільш часто в радіоелектронній техніці в якості генератора імпульсів використовують принцип «інвертуючих підсилювачів». Для побудови схем використовують будь-який інвертор, включаючи їх як показано на рис. 2.1.1. Наведена схема має «м'який запуск» та дає можливість вільно коректувати напівперіоди формування імпульсу за рахунок зміни ємності С1 та опорів R1R2.

Рис. 2.1.1 Генератор імпульсів на основі інвертора

Для схеми частота вихідних імпульсів становить

, (2.1.1)

де f - частота імпульсів на виході, 7 Гц

Т - час формування імпульса.

с.

Для схеми, що реалізується на основі елементної бази ТТЛ Т визначається за формулою

T = 0,7 R C, (2.1.2)

де R - опір резистора каскаду генератора (R1 = R2 1кОм), С - ємність каскаду генератора (обраховується з співвідношення).

Для схем, що реалізується на основі елементної бази КМОП C визначаємо за формулою

, (2.1.3)

мкФ

За знайденим значенням обираємо конденсатори з такими ємностями:

С1 =50 мкФ

С2 =10 мкФ

С3 =3 мкФ

Перелік використаних конденсаторів

К50-6 25в 50мкФ ОЖО.464.031ТУ

К50-6 25в 10мкФ ОЖО.464.031ТУ

К50-6 25в 3мкФ ОЖО.464.031ТУ

АВТОГЕНЕРАТОРИ НА ЕЛЕМЕНТАХ ТТЛ

За допомогою елементів ТТЛ (буферних АБО-НІ) можна проектувати автогенератори, у яких вихідна частота коливань перевищує 30МГц. Щоб автогенератор швидко збуджувався і працювали стійко у всьому діапазоні зовнішніх впливів, що лежить в його основі підсилювальна лінійка повинна бути неінвертуючим з великим коефіцієнтом посилення і який по можливості слід стабілізувати.

Найпростіший автогенератор виходить з двох інверторів, але при цьому значення невелике. Зручніше включити три або чотири елемента з мікросхеми. З рис. 2.6.3, а показана схема автогенератора, в якій позитивний зворотний зв'язок через конденсатор охоплює два елементи DD1.1 і DD1.2, причому DD1.1 виведений в лінійний, підсилювачний режим за допомогою резистора негативного зворотного зв'язку R1 == 220Ом. Елемент DD1.3 застосовується тут як буферний, щоб зменшити впливу навантаження на частоту автогенератора. Частота автогенерації F = l / 3 (R1C1 ).

Рисунок 2.6.2 Автогенератори на цифрових мікросхемах ТТЛ: а - найпростіший мультивібратор; б - кварцовий мультивібратор, в - мультивібратор з роздільною здатністю по виходу; г - інвертуючий підсилювальний каскад; д. е - мультивібратори з підвищеною стабільністю; е - генератор із змінною шпаруватістю

На рис. 2.6.3,б дана аналогічна схема кварцованних автогенераторів. Тут мультивібратор забезпечений висновком дозволу вихідних сигналів ЄВ (рис. 2.6.3, в). Елементи DD1.3 і DD1.4 утворюють RS-тригер. У такому застосуванні його називають засувкою. Якщо на вхід ЄВ подати напруга низького рівня, вхід 10 елемента DD1.3 отримає напруги високого рівня і генерація в лінійці DD1.1- DD1.3 буде дозволена. Генерація припиниться, коли на вхід ЄВ надійде напруга високого рівня (тоді на вході 10 елемента 001.3 буде низький потенціал). На виході генератора з'явиться напруга високого рівня.

Рисунок 2.6.3 Автогенератор з буферним елементом ( а ), з пара фазними виходами (б, в), з коливальним контуром (г)

Як основу для автогенератора з підвищеною стабільністю удобно вибрати інвертуючий підсилювальний каскад з негативною звротнього зв'язком через резистор Іо.с ( рис. 2.6.2, г). тут коефіцієнт посилення Кивихс. Треба враховувати, що власне посилення цифрового інвертора Ку не перевищує 20, що дуже далеко від посилення ідеального операційного підсилювача. У схемі на рис. 2.6.2,д використано два таких інвертора з Ки = 2 ( 560 /220) < 5,5. Тут при ємності С1==1000 пФ частота Р=500 кГц. Аналогічні інвертори використані в кварцовому автогенератори з п'єзоелектричним резонатором 2 (рис. 2.6.2,е). Регульована нелінійна негативний зворотний зв'язок через діод VD 1 (рис. 2.6.2, ж) дозволяє побудувати мультивібратор із змінною шпаруватістю імпульсів.

На рис. 2.6.3, а показана схема кварцового автогенератора з буферним вихідним логічним елементом DD1.3. На цифрових інверторах зручно виконувати симетричні мультивібратори, які генерують парафазні вихідні послідовності. Автогенератори на рис. 1.31,6,в розрізняються способом підключення час задаючих конденсаторів і резисторів. Вихідна частота автогенератора за схемою становить 2 МГц при С1 = С2 = 100 пФ. Якщо у автогенератора на рис. встановлені конденсатори С1 = С2 = 200пф, його вихідна частота буде 1 МГц. Вихідну частоту можна встановити від 1 Гц до 10 МГц, якщо ємності конденсаторів вибрати в межах 50 мкФ...10пФ.

2.2 Обґрунтування вибору лічильника імпульсів

Для побудови спеціалізованого блоку індикації стилізованих символів найкраще підходить чотирьохрозрядний десятковий асинхронний лічильник 7490 (К155ИЕ2).

Мікросхема К155ИЕ2 - чотирьохрозрядний десятковий асинхронний лічильник пульсацій. Внутрішня схема його показана на рис. 2.2.1(а), a умовне позначення на рис. 2.2.1(б). Перший тригер лічильника DD1.3 може працювати самостійно. Він служить дільником вхідної частоти в 2 рази. Тактовий вхід цього дільника СО (вихід 14), а вихід Q0 (вихід 12). Інші три тригера DD1.4 - DD1.6 утворюють дільник на 5. Тактовий вхід тут С1 (вихід 1). Для обох тактових входів запускає перепад негативний, тобто від високого рівня до низького.

а) б)

Рисунок 2.2.1 Лічильник К155ИЕ2 (а) та його умовне позначення (б)

Лічильник має два входи R для асинхронного скидання (виходи 6 і 7), а також два асинхронних входи S (виходи 2 і 3) для випереджувальної записки в лічильник двійкового коду 1001, відповідного десяткового числа 9. Оскільки лічильник К155ИЕ2 асинхронний, стани на його виходах Q0 -Q3 не можуть змінюватися одночасно. Якщо після даного лічильника вихідний код потрібен дешифрувати, тобто перевести його в десяткове число, дешифратор повинен перетворити на час цієї операції. Інакше через неодночасність перемикання вихідних рівнів чотирьох тригерів можуть дешифрувати імпульсні перешкоди.

Входи асинхронного скидання R1 і R2 (двохвхідного елемента И) забороняє дію імпульсів по обом тактовим входів і входів установки S. Нуль, поданий на вхід R, дає скидання даних по всіх тригерам одночасно. Нулем напруги на входах S1 і S2 забороняється проходження на лічильник тактових імпульсів, а також сигналів від входів R1 і R2. На виходах регістра Q0 -Q3 (виходи 12, 9, 8 і 11) встановлюються напруги вихідних рівнів ВННВ, що відповідає коду 1001, тобто цифрі 9.

Щоб отримати на виходах лічильника двійково-десятковий код з вагою двійкових розрядів 8-4-2-1, необхідно з'єднати виходи 12 і 1. Вхідна послідовність подається на тактовий вхід С0 (вихід 14), Симетричний лічильник-дільник вхідної частоти в 10 разів вийде, якщо з'єднати висновок 11 (вихід Q3) з виведенням 14 (вхід С0). Симетричний спосіб розподілу в зарубіжній літературі називається bi-quinary, тобто в перекладі - дві п'ятірки. Вихідна послідовність за рахунку двома п'ятірками має вигляд симетричного меандру з зменшеною в 10 разів частотою. Знімається вона з виходу Q0 (вихід 12) мікросхеми К155ИЕ2.

Для розподілу частоти на два використовується тактовий вхід С0 (вихід 14) і вихід Q0 (вихід 12). Для розподілу частоти в 5 разів подаємо вхідну послідовність на виведення 1. Вихідний сигнал отримуємо на виході Q3 (вихід 11). Зовнішні перемички для цих простих дільників не потрібні. Лічильник К155ИЕ2 (аналог 7490) має струм споживання 53 мА і максимальну тактову частоту 10 МГц. Аналогічна схема варіанту 74LS90 споживає струм 15 мА і має тактову частоту до 30 МГц.

2.3 Розробка схеми перетворювача коду

Оскільки для реалізації данної схеми не вдалося підібрати дешифратори, що задовольняють поставленій умові завдання, необхідно розробити дані дешифратори (перетворювачі коду) на основі логічних елементів з використанням булевих перетворень та мінімізації за допомогою карт Вейче-Карно.

1) Складаємо таблиці істинності перетворювача коду.

Таблиця 2.3.1

Таблиця істинності для дешифратора А

Код

Символи на індикаторі A

Вхідні змінні

Вихідні змінні

X4

(8)

X3

(4)

X2

(2)

X1

(1)

y1

(a)

y2

(b)

y3

(c)

y4

(d)

y5

(e)

y6

(f)

y7

(g)

0

0

0

0

0

0

1

1

1

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

2

F

0

0

1

0

0

1

1

1

0

1

1

3

F

0

0

1

1

0

1

1

1

0

1

1

4

F

0

1

0

0

0

1

1

1

0

1

1

5

5

0

1

0

1

1

0

1

1

0

1

1

6

F

0

1

1

0

0

1

1

1

0

1

1

7

7

0

1

1

1

1

1

1

0

0

0

0

8

F

1

0

0

0

0

1

1

1

0

1

1

9

F

1

0

0

1

0

1

1

1

0

1

1

Із таблиці видно: Y4 = Y6, Y3 = 1.

Таблиця 2.3.2

Таблиця істинності для дешифратора В

Код

Символи на індикаторі B

Вхідні змінні

Вихідні змінні

X4

(8)

X3

(4)

X2

(2)

X1

(1)

y1

(a)

y2

(b)

y3

(c)

y4

(d)

y5

(e)

y6

(f)

y7

(g)

0

0

0

0

0

0

1

1

1

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

2

n

0

0

1

0

0

0

1

0

1

0

1

3

n

0

0

1

1

0

0

1

0

1

0

1

4

n

0

1

0

0

0

0

1

0

1

0

1

5

5

0

1

0

1

1

0

1

1

0

1

1

6

n

0

1

1

0

0

0

1

0

1

0

1

7

7

0

1

1

1

1

1

1

0

0

0

0

8

n

1

0

0

0

0

0

1

0

1

0

1

9

n

1

0

0

1

0

0

1

0

1

0

1

Із таблиці видно: Y4 = Y6, Y3=1.

2) За допомогою діаграм Вейче-Карно знаходимо мінімальну ДНФ (диз'юнктивна нормальна функція) для не повністю визначеної функції дешифратора А (вхідні двійкові комбінації A(1010)-F(1111) не надходять на вхід дешифратора!).

Для У 1 Х2Х1

У1

00

01

11

10

00

1

0

0

0

01

0

1

1

0

11

X

X

X

X

10

0

0

X

X

Х4Х3

У зв'язку з тим, що в завданні комбінацій з 1010 по 1111 у нас немає, то в ці клітинки ставимо Х і можемо вважати його, як 1 так і 0 при необхідності.

Об'єднувати можна одиниці по 1, 2, 4, 8… клітинок. Ті елементи, що в об'єднанні та змінили свій знак з ХN на N або навпаки - в кон'юнкції не враховуються.

(ДО ПЕРЕТВОРЕННЯ)

(ПІСЛЯ ПЕРЕТВОРЕННЯ)

Для У2

Для У4=Y6

У3

00

01

11

10

У5

00

01

11

10

00

1

1

1

1

00

1

0

1

1

01

1

0

1

1

01

1

1

0

1

11

X

X

X

X

11

X

X

X

X

10

1

1

X

X

10

1

1

X

X

Для У5

Для У7

У3

00

01

11

10

У5

00

01

11

10

00

1

0

0

0

00

0

0

1

1

01

0

0

0

0

01

1

1

0

1

11

X

X

X

X

11

X

X

X

X

10

0

0

X

X

10

1

1

X

X

За допомогою діаграм Вейче-Карно знаходимо мінімальну ДНФ для не повністю визначеної функції дешифратора B.

Для У1

Для У2

У3

00

01

11

10

У5

00

01

11

10

00

1

0

0

0

00

1

1

0

0

01

0

1

1

0

01

0

0

1

0

11

X

X

X

X

11

X

X

X

X

10

0

0

X

X

10

0

0

X

X

Для У4=Y6

Для У5

У3

00

01

11

10

У5

00

01

11

10

00

1

0

0

0

00

1

0

1

1

01

0

1

0

0

01

1

0

0

1

11

X

X

X

X

11

X

X

X

X

10

0

0

X

X

10

1

1

X

X

Для У7

У5

00

01

11

10

00

0

0

1

1

01

1

1

0

1

11

X

X

X

X

10

1

1

X

X

3) Переводимо на потрібний елементний базис елементів користуючись правилами Де-Моргана.

Згідно варіанту базис АБО-НІ.

Дешифратор А.

Дешифратор B.

2.4 Розрахунок потужності

Проводимо розрахунок потужності споживаної мікросхемами потужності. Пристрій містить слідуючи мікросхеми з такими споживаними потужностями:

К155ИЕ2 - 58 мВт - 2 шт.

КМ555ЛП5 - 40мВт - 30 шт.

КМ555ЛЛ3 - 80 мВт - 4 шт.

КМ555ЛЛ6 - 92 мВт - 7 шт.

АЛС332Л2 - 350мВт - 2 шт.

Рмікр= Рел * n (2.4.1)

Рмікр = 58 * 2 + 40 * 30 + 80 * 4 + 92 * 7 + 350 * 2= 2,98 Вт.

2.5 Розрахунок блока живлення

Вихідні дані:

1) Середнє значення випрямленої напруги за номінального опору навантаження Ud=15В;

2) Струм навантаження Id=0,7А;

3) Коефіцієнт пульсації випрямленої напруги Кп=6%;

4) Напруга мережі живлення Uм=220В;

5) Частота мережі живлення fм =50Гц.

Необхідно визначити:

1) Тип і параметри вентилів;

2) Режим роботи схеми (значення струмів в елементах та напруг на них);

3) К.К.Д. випрямляча;

4) Ємність та тип конденсатора фільтра.

Порядок розрахунку:

Визначимо орієнтовні значення параметрів вентилів та габаритну потужність трансформатора.

Для цього необхідно задати значення допоміжних коефіцієнтів B, D і F. Для мостової схеми їх вибирають в інтервалах B=0,95…1,1; D=2,1…2,2; F=6,8…7,2.

Нехай: B=1; D=2,15; F=7.

Тоді амплітуда зворотної напруги на вентилі становитиме:

.

Середнє та амплітудне значення струму через вентиль відповідно:

; А;

; А;

Отже

Габаритну потужність трансформатора визначимо:

; (2.5.1)

ВА; (2.5.2)

За визначенням габаритної потужності з табл. 1.1 знаходимо максимальне значення індукції Bт для сталі марки Э360, забезпечуючи виконання умови ВА:

Тл для ВА.

Таблиця 2.5.1

Рекомендовані значення індукції та К.К.Д. трансформатора

Габаритна потужність ST, ВА

Індукція Bт, Тл

К.К.Д. зТ

fм=50Гц

fм=400Гц

fм=50Гц

fм=400Гц

10

1,2

1,15

0,85

0,78

20

1,4

1,33

0,89

0,83

40

1,55

1,47

0,92

0,86

70

1,6

1,51

0,94

0,88

100

1,6

1,5

0,95

0,9

200

1,43

1,4

0,96

0,92

400

1,43

1,3

0,97

0,94

Вибираємо тип вентилів за таблиці 2.5.1трансформатора. При цьому необхідно забезпечити виконання умов:

; (2.5.3)

; (2.5.4)

. (2.5.5)

Таблиця 2.5.2

Основні параметри діодів

Тип діода

Граничні електричні параметри при температурі оточуючого середовища 25±5°С

Допустима зворотна напруга Uвм max

Середнє значення випрямленого струму Ia

Пряме падіння напруги Uпр

(при Ia max), В

КД105Б

400

0,3

1

КД105В

600

КД105Г

800

КД205А

500

0,5

КД205Б

400

КД205В

300

КД205Г

200

КД205Д

100

КД205К

0,7

КД205Л

200

КД208

100

1,0

КД209А

400

0,7

КД209Б

600

КД202А

50

3,5

КД202Б

1,0

КД202В

100

3,5

КД202Г

1,0

КД202Д

200

3,5

КД202Е

1,0

В якості вентилів вибираємо кремнієві діоди типу КД202Б, що мають наступні параметри:

В>22,5В;

А>0,35А;

А>1,225А;

В.

Знаходимо опір діода у провідному стані:

; (2.5.6)

Ом;

Знайдемо величину активного обмоток трансформатора:

, (2.5.7)

де - коефіцієнт, що залежить від схеми випрямлення: для мостової схеми ;

- амплітуда магнітної індукції в магнітопроводі трансформатора, 1,4Тл;

- число стержнів трансформатора з Ш-подібними пластинами магнітопроводу .

Ом.

Знаходимо індуктивність розсіювання обмоток трансформатора:

, (2.5.8)

де kL - коефіцієнт, що залежить від схеми випрямлення: для мостової схеми .

Гн.

Визначаємо кут , що характеризує співвідношення між індуктивним і активним опорами випрямляча:

, (2.5.9)

де r - активний опір випрямляча.

У загальному випадку

, (2.5.10)

де nq - кількість послідовно увімкнених і одночасно працюючих вентилів, для мостової схеми .

Ом

.

Знаходимо величину основного розрахункового коефіцієнта:

, (2.5.11)

де m - число фаз випрямляча (для мостової схеми ).

.

За знайденими значеннями і кута за графіками, наведеними на рисунках 1.1 - 1.4, знаходимо величини допоміжних коефіцієнтів

Рисунок 2.5.1 Залежність коефіцієнта В від Ао та кута

Рисунок 2.5.2 Залежність коефіцієнта D від Ао та кута

Рисунок 2.5.3 Залежність коефіцієнта F від Ао та кута

Рисунок 2.5.4 Залежність коефіцієнта «H*1000» від Ао та кута

; ; ; .

Знаючи величини допоміжних коефіцієнтів B, D, F і H, можна знайти уточнені параметри трансформатора і вентиля, за якими перевіримо правильність їх вибору.

Діюче значення напруги вторинної обмотки трансформатора становить:

; (2.5.12)

В.

Діюче значення струму вторинної обмотки трансформатора:

; (2.5.13)

А.

Повна потужність вторинної обмотки трансформатора:

; (2.5.14)

ВА.

Діюче значення струму первинної обмотки трансформатора:

, (2.5.15)

де - коефіцієнт трансформації трансформатора ().

;

А.

Повна потужність первинної обмотки трансформатора:

І, (2.5.16)

ВА.

Уточнимо повну (габаритну) потужність трансформатора:

; (2.5.17)

Вт<40Вт.

Уточнимо значення параметрів діода:

; (2.5.18)

В<100В;

; (2.5.19)

А<1А;

; (2.5.20)

А<3,14А.

Отже тип діода вибрано правильно.

Знаходимо величину ємності конденсатора фільтра:

; (2.5.21)

мкФ.

Вибираємо конденсатор типу К50-35 з параметрами ємністю 2000мкФ на напругу В>В.

Будуємо зовнішню (навантажувальну) характеристику випрямляча . За допомогою цієї характеристики можна визначити відхилення випрямленої напруги від заданого значення при різних величинах струму навантаження , у тому числі напругу холостого ходу ,струм короткого замикання та величину внутрішнього опору випрямляча .

Для розрахунку зовнішньої характеристики будемо задавати значення величини від 0 до номінального значення та знаходити відповідні їм значення допоміжного коефіцієнта

; (2.5.22)

.

За графіком знаходимо відповідні значення величини залежно від та , де - кут відтинання. Тоді відповідні їм значення вихідної напруги випрямляча можна розрахувати за формулою:

; (2.5.23)

.

Рисунок 2.5.5 Залежність коефіцієнта від та кута

Результати розрахунку зведені у таблиці 2.5.6 та відображені у вигляді графіка на рисунку 2.5.6.

Таблиця 2.5.6

Зведені параметри для побудови зовнішньої характеристики випрямляча

Id, A

г0 для =4,5°

Ud, В

0

0

1,39

22,10

0,1

0,01169

1,30

20,67

0,2

0,02338

1,20

19,08

0,3

0,03507

1,15

18,29

0,4

0,04676

1,07

17,01

0,5

0,05845

1,02

16,22

0,6

0,07014

0,89

14,51

0,7

0,08183

0,80

12,72

Рисунок 2.5.6 Зовнішня характеристика випрямляча
Знаходимо значення напруги холостого ходу випрямляча:
(2.5.24)
В.
Величина струму короткого замикання становить:
; (2.5.25)
А.
Величина внутрішнього опору випрямляча складає:
; (2.5.26)
Ом.
Знайдемо величину К.К.Д. випрямляча:
, (2.5.27)
Де - втрати потужності в трансформаторі з К.К.Д. ;
- втрати потужності у одночасно працюючих діодах: .
Втрати потужності в трансформаторі
; (2.5.28)
ВА.
Втрати потужності в діодах
; (2.5.29)
ВА.
Тоді
.
Електричну принципову схему розрахованого випрямляча наведено нижче.

Рисунок 2.5.7 Схема електрична принципова випрямляча

2.6 Обґрунтування елементної бази

У цифровій мікросхемі найпростіші логічні операції здійснюються за допомогою логічних елементів. На початку розвитку мікроелектроніки кожна мікросхема містила всього один логічний елемент, подібний тому, який показано на рис. 2.6.1, а. У міру розвитку технології на кристалі мікросхеми стали розміщувати набори таких елементів, а потім з'єднувати їх у логічні структури. При цьому принципова схема логічного елемента не змінювалася.

Рисунок 2.6.1 Перші логічні елементи ТТЛ

Проте з плином часу імпульсні параметри мікросхеми виявлялися недостатніми і доводилося розширювати діапазони б - строк дії, економічність і завадостійкість мікросхем за рахунок нової принципової схеми логічного елемента. За чверть століття послідовно змінилося близько десятка таких схем. Щоб їх можна було легко розрізняти, їм присвоювали скорочені умовні позначення. У позначенні, як правило, присутня буква Л - початкова від слова логіка. Цим словом у свій час умовно назвали цифровий ключ.

Пристрій елемента резисторно -транзисторної логіки, скорочено РТЛ (рис. 2.6.1,а), відображає наявність у схемі компонентів: резисторів і комутаційного транзистора. У 60 - х роках мікросхеми РТЛ довільно широко випускалися в гібридному товстоплітному виконанні.

Приєднаємо на вхід А логічного елемента DD1 ( рис. 2.6.1) перемикач S1, движок якого може займати два положення В і Н. У положенні У на вхід А подається напруга високого рівня U \ x = е = ПІП » а в положенні Н - низького UBX -0. На рис. 2.6.1, а на вхід А по ¬ дано сигнал високого рівня. Тоді від позитивного полюса через резистор R1 в базу транзистора втікає насичений базовий струм, що є тут вхідним струмом високого рівня IgX. Таким чином, елемент РТЛ включається вхідною напругою високого рівня. По-іншому, це вхідна напруга можна назвати активним логічним сигналом елемента РТЛ.

Дійсно, якщо в схемі (рис. 2.6.1, а) перемикач S1 знаходиться в положенні Н, транзистор VT1 відкриває струму отримувати не буде і тому закриється. Через вхід А логічного елемента DDI буде стікати на землю дуже малий вхідний струм низького рівня Iвх == 1 ^ 50 тобто струм виходу-переходу колектор-база транзистора VT1. Цим струмом керувати не можна.

На етапі лампових ЕОМ широко використовувалася логіка зі вхідними діодами. У транзисторному варіанті вона називається ДТЛ- діодно-транзисторна логіка. Цей елемент зображений на рис. 2.6.1. Він має як би зворотній спосіб дії в порівнянні з РТЛ. Вхідні резистори, що знижують принципово час включення елемента РТЛ, замінені вхідними діодами VD1- VD3. Транзистор VT1 активно закриється додатковим зовнішнім напругою зміщення - UCM = -1.., -2 В. Діод VD4 відокремлює вхідну матрицю VD1- VD3.

Елемент ДТЛ DD1 ( рис. 2.6.1, б ) можна включити, якщо через перемикач S1 його вхід А заземлити. Тоді діод VD1 відкриється, напруга в точці схеми 2 знизиться до 0,7 В (це пряме падіння напруги на кремнієвому діоді). Негативна напруга UCM переведе транзистор VT1 в режим відсічення, він розімкнеться. На виході Q з'явиться напруга високого рівня Uв ^ UH.n. Зазначимо, що від входу логічного елемента ДТЛ в цей час стікає на землю вхідний струм низького рівня IgX = (UH.n -0, 7 B) / RL.

Коли на вхід А від перемикача S1 буде подана напруга високого рівня і закриється і тому вхідний струм високого рівня виявиться нехтує малим. На виході Р з'явиться вихідна напруга низького рівня Uвих < 0,3 В, так як транзистор УТ1 отримає від джерела живлення ПІП через - діод VD4 великий відкриває струм 1Б ( врахуємо, що ПІП істотно перевищує - ІСМ ).Таким чином, у схемі ДТЛ база ключового транзистора УТ1 безпосередньо не пов'язана з джерелом сигналу, в даному випадку з перемикача Б1. Отже, транзистор не прийме багато перешкоди від джерела.

Неважко бачити, що в елементі ДТЛ вхідним запускає являє струм низького рівня, отже, для такої схеми активну - вхідна напруга низького логічного рівня і ^ х. Перехід до низькому запускаючому рівню виявився необхідним для обслуговування джерел кодових, цифрових, командних сигналів - кнопок, перемикачів і контактів реле. Замикання їх контактів на провід з нульовим потенціалом супроводжує набагато менше помилкових імпульсів запуску (так званий брязкіт контактів), ніж при їх замиканні на високий потенціал.

Швидкодія самих різних по схемотехніці цифрових мікросхем прийнято порівнювати за так званим часу затримки сигналу, тобто по інтервалу часу від подачі вхідного імпульсу до появи вихідного. Якщо взяти до уваги потребують елементом потужність Рпот, то можна підрахувати енергію, необхідну цього цифрового елементу для перенесення одного біта інформації. По діаграмі можна визначити, що за двадцятиліття енергія ЕПот, витрачається в елементі ТТЛ, зменшена з 120...140 до 5...20пДж, тобто приблизно на півтора порядки. Основна частина цієї економії отримана в результаті багаторазового зменшення часу t. Одночасно шляхом покращена технологічних методів виготовлення напівпровідникових структур вдалося знизити в 2-3 рази і споживану потужність Рпот.

Зараз у блоках апаратури можна зустріти всі перераховані варіанти мікросхем ТТЛ. Напруги живлення у них однакові Uп = 5 В±10%, а вхідні та вихідні логічні рівні совместими. Мікросхеми Тул більш нових серій мають покращені електричних параметри, але розташування їх виходів. Повна електрична і конструктивна сумісність однотипних мікросхем ТТЛ з різних серій знімає багато проблем розвитку та покращення параметрів апаратури і стимулює нарощування ступеня внутрішньої інтеграції знову випущених мікросхем, коли на одному кристалі розміщується все більше число функціональних вузлів, багато з яких раніше були самостійними мікросхемами.

Основна номенклатура застосовуваних зараз мікросхем ТТЛ має середній рівень інтеграції. Радимо читачам самостійно вважати (природно, приблизно) число транзисторів в мікросхему, наприклад регістра. На кристалі мікросхеми такої складності розташовується 1000 і більше транзисторів.

2.7 Розрахунок надійності

В цьому розділі необхідно визначити показники надійності, які відносяться до імовірності безвідмовної роботи Tcp.

В якості показника надійності ЕА використовують тільки середній час безвідмовної роботи (математичне очікування випадкової величини )

де Ул - сума інтенсивності відмов елементів

Ул=лimc + лдіод + лконд + лрез + лтранс + лперемикач (2.7.2)

де л - інтенсивність відмов елемента

лimc = 0,02 * 10-6 * 40 = 0.8 * 10-6 годин-1;

лдіод = 1,5 * 10-6 * 5 = 7.5 * 10-6 годин-1;

лконд = 2,4 * 10-6 * 4 = 9.6 * 10-6 годин-1;

лрез= 0,4 * 10-6 * 17 = 6.8 * 10-6 годин-1;

лтранс = 3 * 10-6 годин-1;

лперемикач = 0,25 * 2 * 10-6 = 0,5 * 10-6 годин-1;

Розраховуємо суму інтенсивності відмов елементів:

Ул = (0.8 +7.5 + 9.6 + 6.8 + 3 + 0.5) = 28.2 * 10-6 годин-1

Розраховуємо імовірності безвідмовної роботи:

годин

Імовірність несправної роботи - це імовірність того, що в заданому інтервалі часу виникає відмовлення в роботі пристрою.

Надійність - властивість виробу (деталі, компоненту, елементу, вузла, блоку, пристрою, системи) виконувати задані функції (бути працездатним) протягом необхідного проміжку часу.

Надійність сучасної електронної апаратури значною мірою визначається надійністю складових її компонентів, і межі складності електронних систем залежать в основному від досяжного рівня надійності складових їх технічних засобів. Проблема забезпечення надійності набуває тим більше значення, чим складніше ця ЕА. Вирішення суперечності між складністю пристроїв і їх надійністю є одним з найважливіших інженерних завдань.

Размещено на http://www.allbest.ru/

Фізично інтенсивність відмов виробів визначає відносне число виробів, що відмовили, в одиницю часу. Одиницею вимірювання інтенсивності відмов звичайно є величина.

Інтенсивність відмов виробу на великому інтервалі часу описується якіснривою (рис. 2.7.1). Вона характеризується трьома явно вираженими періодами: прироблення I, нормальної експлуатації II і зносу III.

2.8 Опис принципу роботи схеми принципової електричної

Дана електрична схема працює наступним чином: генератор тактових імпульсів виробляє послідовність імпульсів з частотою 7 Гц. Ці імпульси надходять на вхід лічильника одиниць. Лічильник одиниць формує на виході код у вигляді 1-2-4-8. Даний код потрапляє на дешифратор А, який перетворює його в сигнали, що надходять на входи семисегментного індикатора А. Індикатор відтворює стилізовані цифри 0-1-5-7, що співпадають з цифрами власного шифру студента, а при надходженні на вхід перетворювача коду інших цифрових даних висвітлюється спеціальний символ. За варіантом - символ .

Коли дешифратор А сформує на своїх виходах значення коду 1010, то на лічильник десятків надійде одиничний імпульс, що змінить його стан на «+1». Даний код потрапляє на дешифратор В, який перетворює його в сигнали, що надходять на входи семисегментного індикатора В. Індикатор відтворює стилізовані цифри 0-1-5-7, що співпадають з цифрами власного шифру студента, а при надходженні на вхід перетворювача коду інших цифрових даних висвітлюється спеціальний символ. За варіантом - символ .

Цей цикл буде продовжуватися до тих пір, поки не натиснути кнопку на скидання SА1.

Всю схему живить блок живлення, розрахунок якого наведено в розділі 2.5.

Висновок

Оволодівши навичками проектування перетворювачів коду, що працюють за заданими умовами був розроблений спеціалізований блок індикації стилізованих символів 0-1-5-7 та спеціалізованих символів - на двохрозрядному семисегментному індикаторі з частотою генера 7 Гц. Схема перетворювача коду реалізована на базисі АБО-НІ та розроблена в середовищі комп'ютерного моделювання електричних схем Electronics WorkBench.

Список літератури

Колонтаєвський Ю.П., Со...


Подобные документы

  • Класифікація цифро–аналогових перетворювачів. Технічні характеристики та призначення основних блоків перетворювача з матрицею постійного імпедансу. Діаграма функції перетворення, частота перетворення зміни коду. Ідентифікація та корекція похибок.

    курсовая работа [1,0 M], добавлен 15.10.2013

  • Розробка блоку з генератором одиночних імпульсів, двійково-десятковим лічильником і вузлом індикації. Аналіз принципу роботи двійково-десяткового лічильника одиничних імпульсів. Вибір елементів генератора імпульсів, цифрового блоку та вузла індикації.

    курсовая работа [775,0 K], добавлен 14.01.2015

  • Розробка структури цифрового лінійного тракту і структурної схеми каналу зв'язку. Теоретичний аналіз алгоритму роботи модулятора. Опис роботи ідеального приймача. Ймовірність помилкового прийому комбінації коду Хемінга та безнадлишкового коду МТК-2.

    курсовая работа [444,5 K], добавлен 09.01.2014

  • Проектування та реалізація перетворювача напруги в імпульси. Розрахунок та визначення технічних параметрів перетворювача напруга-тривалість. Розробка та обґрунтування структурної схеми приладу. Методика проведення і призначення електричних розрахунків.

    курсовая работа [270,5 K], добавлен 04.02.2010

  • Розробка структурної схеми перетворювача, аналіз існуючих методів вимірювання індуктивності. Попередній розрахунок первинного перетворювача та підсилювача потужності. Розробка детальної структури схеми, електричні розрахунки та визначення похибки.

    курсовая работа [706,0 K], добавлен 30.11.2009

  • Загальний огляд існуючих первинних перетворювачів температури. Розробка структурної схеми АЦП. Вибір п’єзоелектричного термоперетворювача, цифрового частотоміра середніх значень в якості аналого-цифрового перетворювача, розрахунок параметрів схеми.

    курсовая работа [30,5 K], добавлен 24.01.2011

  • Вибір конструктивної схеми. Розробка циліндричного перетворювача, що має форму кільця. Розрахунки еквівалентних електричних і механічних параметрів. Частота перетворювача у робочому середовищі. Активна складова механічного опору. Електрична добротність.

    контрольная работа [125,0 K], добавлен 07.05.2011

  • Процес формування сигналу-коду та його перевірка. Ескізне проектування, електрична структурна схема, основні аспекти роботи системи. Розробка моделі на мові VHDL, генерація кодової послідовності, схеми мультиплексорів та реалізація приймача сигналу.

    курсовая работа [422,6 K], добавлен 18.09.2010

  • Основні фундаментальні закономірності, зв’язані з отриманням сигналу. Розробка технічного завдання, структурної схеми. Аналіз існуючих методів вимірювання струму. Попередній розрахунок первинного перетворювача, підсилювача потужності та напруги.

    курсовая работа [601,5 K], добавлен 07.02.2010

  • Техніка конструювання і застосування датчиків. Структурна побудова автоматизованого пристрою. Розрахунок та визначення основних технічних параметрів схеми перетворювача індуктивність-напруга. Можливі області застосування індуктивних перетворювачів.

    курсовая работа [674,5 K], добавлен 07.02.2010

  • Технічні засоби міжконтролерного обміну інформацією з визначенням та виправленням помилок: принципи утворення коду, структурна, функціональна та принципова схеми контролера. Обґрунтування вибору елементної бази та мови програмування, розробка програми.

    курсовая работа [1,9 M], добавлен 19.01.2010

  • Аналіз деяких питань кодування інформації по каналах зв'язку з перешкодами. Дослідження елементів теорії кодування. Сутність групового коду – блокового коду, у якого кодові слова утворюють групу. Особливості кодів Хеммінга та квазідосконалого кодування.

    реферат [114,4 K], добавлен 21.09.2010

  • Характеристика цифрових комбінаційних пристроїв та їх види. Схемні ознаки проходження сигналів. Цифрові пристрої з пам’яттю та їх основні типи. Властивості та функціональне призначення тригерів. Розробка перетворювача коду по схемі дешифратор-шифратор.

    курсовая работа [1,7 M], добавлен 08.07.2012

  • Аналітичний огляд первинних перетворювачів температури. Розробка структурної та функціональної схеми цифрового термометру для вимірювання температури в діапазоні від 600 до 1000 С. Розрахунок частоти генератора та розрядності двійкового лічильника.

    курсовая работа [40,2 K], добавлен 26.01.2011

  • Загальний огляд схем тактових генераторів. Вибір, обґрунтування й опис роботи функціональної схеми синхронізатора зондувальних імпульсів, розрахунок його принципової схеми. Мета і призначення приладу, визначення його собівартості та витрат на розробку.

    дипломная работа [1014,2 K], добавлен 11.06.2012

  • Принцип дії лічильника імпульсів, пропорційно-інтегральних регуляторів на операційних підсилювачах замкнутої системи автоматичного управління, аналого-цифрового перетворювача, стабілізатора напруги. Розрахунок силового трансформатора джерела живлення.

    курсовая работа [1,3 M], добавлен 01.04.2014

  • Розробка схеми приймача з цифровою обробкою інформації і обгрунтування вимог до нього. Аналіз аналого-цифрового перетворювача і вимоги до цього важливого елемента приймального тракту. Елементна база малошумлячого підсилювача. Знижка коефіцієнту шуму.

    реферат [570,6 K], добавлен 18.02.2010

  • Загальна характеристика принципу роботи електронного замка. Написання коду програми, який забезпечить працездатність пристрою й подальшу його експлуатацію. Розробка принципової схеми і друкованої плати, системи керування створеним електронним замком.

    дипломная работа [1,1 M], добавлен 03.05.2015

  • Загальна характеристика Electronics Workbench - вимірювального перетворювача температура-струм. Розрахунок елементів схеми та обчислення сумарної похибки даного пристрою. Побудова лінійної функції перетворення. Оцінка впливу дестабілізуючих факторів.

    курсовая работа [229,6 K], добавлен 28.10.2015

  • Структурна схема системи передачі. Розрахунок параметрів кодера і декодера простого коду. Інформаційні характеристики джерела повідомлень, завадостійкість демодулятора. Вибір коду, що коректує, і розрахунок завадостійкості системи зв'язку з кодуванням.

    курсовая работа [847,4 K], добавлен 09.04.2010

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.