Триггеры

Типы триггеров, алгоритмы их работы, параметры, типовые схемы включения и реализация на их основе некоторых функций. Включение D-триггера для замены RS-триггера и JK-триггера в счетном режиме. Формирователь короткого импульса по фронту входного сигнала.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид лекция
Язык русский
Дата добавления 12.01.2015
Размер файла 328,6 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Триггеры

В лекции рассказывается о триггерах различных типов, об алгоритмах их работы, параметрах, типовых схемах включения, а также о реализации на их основе некоторых часто встречающихся функций.

Триггеры и регистры являются простейшими представителями цифровых микросхем, имеющих внутреннюю память. Если выходные сигналы логических элементов и комбинационных микросхем однозначно определяются их текущими входными сигналами, то выходные сигналы микросхем с внутренней памятью зависят также еще и от того, какие входные сигналы и в какой последовательности поступали на них в прошлом, то есть они помнят предысторию поведения схемы. Именно поэтому их применение позволяет строить гораздо более сложные и интеллектуальные цифровые устройства, чем в случае простейших микросхем без памяти. Микросхемы с внутренней памятью называются еще последовательными или последовательностными, в отличие от комбинационных микросхем.

Триггеры и регистры сохраняют свою память только до тех пор, пока на них подается напряжение питания. Иначе говоря, их память относится к типу оперативной (в отличие от постоянной памяти и перепрограммируемой постоянной памяти, которым отключение питания не мешает сохранять информацию). После выключения питания и его последующего включения триггеры и регистры переходят в случайное состояние, то есть их выходные сигналы могут устанавливаться как в уровень логической единицы, так и в уровень логического нуля. Это необходимо учитывать при проектировании схем.

Большим преимуществом триггеров и регистров перед другими типами микросхем с памятью является их максимально высокое быстродействие (то есть минимальные времена задержек срабатывания и максимально высокая допустимая рабочая частота). Именно поэтому триггеры и регистры иногда называют также сверхоперативной памятью. Однако недостаток триггеров и регистров в том, что объем их внутренней памяти очень мал, они могут хранить только отдельные сигналы, биты (триггеры) или отдельные коды, байты, слова (регистры).

Триггер можно рассматривать как одноразрядную, а регистр -- как многоразрядную ячейку памяти, которая состоит из нескольких триггеров, соединенных параллельно (обычный, параллельный регистр) или последовательно (сдвиговый регистр или, что то же самое, регистр сдвига).

Принцип работы и разновидности триггеров

В основе любого триггера (англ. -- "тrigger" или "flip-flop") лежит схема из двух логических элементов, которые охвачены положительными обратными связями (то есть сигналы с выходов подаются на входы). В результате подобного включения схема может находиться в одном из двух устойчивых состояний, причем находиться сколь угодно долго, пока на нее подано напряжение питания.

Рис. 7.1 Схема триггерной ячейки

Пример такой схемы (так называемой триггерной ячейки) на двух двухвходовых элементах И-НЕ представлен на рис. 7.1. У схемы есть два инверсных входа: -R -- сброс (от английского Reset), и -S -- установка (от английского Set), а также два выхода: прямой выход Q и инверсный выход -Q.

Для правильной работы схемы отрицательные импульсы должны поступать на ее входы не одновременно. Приход импульса на вход -R переводит выход -Q в состояние единицы, а так как сигнал -S при этом единичный, выход Q становится нулевым. Этот же сигнал Q поступает по цепи обратной связи на вход нижнего элемента. Поэтому даже после окончания импульса на входе -R состояние схемы не изменяется (на Q остается нуль, на -Q остается единица). Точно так же при приходе импульса на вход -S выход Q в единицу, а выход -Q -- в нуль. Оба эти устойчивых состояния триггерной ячейки могут сохраняться сколь угодно долго, пока не придет очередной входной импульс, -- иными словами, схема обладает памятью.

Если оба входных импульса придут строго одновременно, то в момент действия этих импульсов на обоих выходах будут единичные сигналы, а после окончания входных импульсов выходы случайным образом попадут в одно из двух устойчивых состояний. Точно так же случайным образом будет выбрано одно из двух устойчивых состояний триггерной ячейки при включении питания. Временная диаграмма работы триггерной ячейки показана на рисунке.

Таблица 7.1

Таблица истинности триггерной ячейки

Входы

Выходы

-R

-S

Q

-Q

0

1

0

1

1

0

1

0

1

1

Без изменения

0

0

Не определено

В стандартные серии цифровых микросхем входит несколько типов микросхем триггеров, различающихся методами управления, а также входными и выходными сигналами. На схемах триггеры обозначаются буквой Т. В отечественных сериях микросхем триггеры имеют наименование ТВ, ТМ и ТР в зависимости от типа триггера. Наиболее распространены три типа ( рис. 7.2):

· RS-триггер (обозначается ТР) -- самый простой триггер, но редко используемый (а).

· JK-триггер (обозначается ТВ) имеет самое сложное управление, также используется довольно редко (б).

· D-триггер (обозначается ТМ) -- наиболее распространенный тип триггера (в).

Примером RS-триггера является микросхема ТР2, в одном корпусе которой находятся четыре RS-триггера. Два триггера имеют по одному входу -R и -S, а два других триггера -- по одному входу -R и по два входа -S1 и -S2, объединенных по функции И. Все триггеры имеют только по одному прямому выходу. RS-триггер практически ничем не отличается по своим функциям от триггерной ячейки, рассмотренной ранее (см. рис. 7.1). Отрицательный импульс на входе -R перебрасывает выход в нуль, а отрицательный импульс на входе -S (или на любом из входов -S1 и -S2) перебрасывает выход в единицу. Одновременные сигналы на входах -R и -S переводят выход в единицу, а после окончания импульсов триггер попадает случайным образом в одно из своих устойчивых состояний. Таблица истинности триггера ТР2 с двумя входами установки -S1 и -S2 представлена в табл. 7.2.

Рис. 7.2 Триггеры трех основных типов

Таблица 7.2

Таблица истинности RS-триггера ТР2

Входы

Выходы

-R

-S

Q

-Q

1

1

1

Без изменения

X

0

1

1

0

X

1

1

1

1

0

0

X

0

0

Не определен

0

X

0

Не определен

JK-триггер значительно сложнее по своей структуре, чем RS-триггер. Он относится к так называемым тактируемым триггерам, то есть он срабатывает по фронту тактового сигнала. Примером может служить показанная на рис. 7.2 микросхема ТВ9, имеющая в одном корпусе два JK-триггера со входами сброса и установки -R и -S. Входы -R и -S работают точно так же, как и в RS-триггере, то есть отрицательный импульс на входе -R устанавливает прямой выход в нуль, а инверсный -- в единицу, а отрицательный импульс на входе -S устанавливает прямой выход в единицу, а инверсный -- в нуль.

Однако состояние триггера может быть изменено не только этими сигналами, но и сигналами на двух информационных входах J и K и синхросигналом С. Переключение триггера в этом случае происходит по отрицательному фронту сигнала С (по переходу из единицы в нуль) в зависимости от состояний сигналов J и K. При единице на входе J и нуле на входе К по фронту сигнала С прямой выход устанавливается в единицу (обратный -- в нуль). При нуле на входе J и единице на входе К по фронту сигнала С прямой выход устанавливается в нуль (обратный -- в единицу). При единичных уровнях на обоих входах J и K по фронту сигнала С триггер меняет состояние своих выходов на противоположные (это называется счетным режимом).

Таблица 7.3

Таблица истинности JK-триггера ТВ9

Входы

Выходы

-S

-R

C

J

K

Q

-Q

0

1

Х

Х

Х

1

0

1

0

Х

Х

Х

0

1

0

0

Х

Х

Х

Не определено

1

1

10

1

0

1

0

1

1

10

0

1

0

1

1

1

10

0

0

Не изменяется

1

1

10

1

1

Меняется на проти-воположное

1

1

1

Х

Х

Не изменяется

1

1

0

Х

Х

Не изменяется

1

1

01

Х

Х

Не изменяется

Рис. 7.3 Временная диаграмма работы JK-триггера ТВ9

Таблица истинности триггера ТВ9 представлена в табл. 7.3, а временная диаграмма работы -- на рис. 7.3.

Наконец, самый распространенный D-триггер занимает, можно сказать, промежуточное положение между RS-триггером и JK-триггером. Помимо общих для всех триггеров входов установки и сброса -S и -R, он имеет один информационный вход D (вход данных) и один тактовый вход C. Примером может служить показанная на рис. 7.2 микросхема ТМ2, содержащая в одном корпусе два D-триггера с прямыми и инверсными выходами.

Таблица 7.4

Таблица истинности D-триггера ТМ2

Входы

Выходы

-S

-R

C

D

Q

-Q

0

1

Х

Х

1

0

1

0

Х

Х

0

1

0

0

Х

Х

Не определено

1

1

01

1

1

0

1

1

01

0

0

1

1

1

0

Х

Не меняется

1

1

1

Х

Не меняется

1

1

10

Х

Не меняется

Рис. 7.4 Временная диаграмма работы D-триггера ТМ2

Тактируется триггер (то есть меняет свое состояние) по положительному фронту сигнала С (по его переходу из нуля в единицу) в зависимости от состояния входа данных D. Если на входе D единичный сигнал, то по фронту сигнала С прямой выход триггера устанавливается в единицу (инверсный -- в нуль). Если же на входе D -- нулевой сигнал, то по фронту сигнала С прямой выход триггера устанавливается в нуль (инверсный -- в единицу).

Таблица истинности триггера ТМ2 представлена в табл. 7.4, а временная диаграмма работы -- на рис. 7.7.

Остановимся на работе D-триггера чуть подробнее, так как он наиболее часто используется. При этом многие замечания, высказанные здесь относительно D-триггера, будут верны и для других типов триггеров.

Прежде всего отметим, что все приведенные временные диаграммы относятся к первому уровню представления, к уровню логической модели. Конечно же, в реальности все триггеры имеют временные задержки установки выходных сигналов, а также предъявляют определенные временные требования к входным сигналам, при нарушении которых любой триггер будет работать неустойчиво или же не будет работать вообще. Это учитывается на втором уровне представления (в модели с временными задержками).

Например, как уже отмечалось, входные сигналы -R и -S не должны приходить одновременно, иначе состояние триггера будет неопределенным. Длительность сигналов -R и -S также не должна быть слишком малой, иначе триггер может на них не среагировать. Сигнал -R должен начинаться с определенной задержкой после окончания сигнала -S, и наоборот. В первом приближении можно считать, что минимально допустимые временные интервалы между входными сигналами должны равняться 1-2 задержкам логического элемента соответствующей серии.

Точно так же не должна быть слишком малой длительность тактового сигнала C (как положительного, так и отрицательного импульса), иначе триггер может переключаться неустойчиво. Это требование универсально для всех микросхем, срабатывающих по фронту входного сигнала. Принципиально важна и величина временного сдвига (задержки) между установлением сигнала D и рабочим (положительным) фронтом сигнала C. Этот сдвиг тоже не должен быть слишком малым. Не должен быть чрезмерно малым и сдвиг между окончанием сигналов -R и -S и рабочим фронтом сигнала С. Повышенные требования предъявляются также к длительности фронта тактового сигнала С, которая не должна быть слишком большой. Это требование также универсально для всех микросхем, срабатывающих по фронту входного сигнала.

Одним словом, чем сложнее микросхема, тем важнее для нее становятся ограничения второго уровня представления, тем выше требования к разработчику по учету временных задержек и длительностей сигналов. Правда, требования эти не слишком разнообразны и не слишком жестки, поэтому, раз и навсегда усвоив их, можно проектировать любые схемы без грубых ошибок. Самое главное, что надо запомнить, состоит в следующем: цифровые схемы не любят слишком коротких входных сигналов и слишком малых задержек между входными сигналами, функционально связанными между собой. Ориентир здесь очень простой -- величина задержки логического элемента данной серии. Поэтому для более быстрых серий ограничения будут менее жесткими, а для более медленных серий -- более жесткими.

Несколько слов о величинах задержек микросхем триггеров.

Несмотря на свою достаточно сложную внутреннюю структуру, микросхемы триггеров являются одними из самых быстрых. Задержка срабатывания триггера обычно не превышает 1,5-2 задержки логического элемента. (причем задержки по входам -R и -S чуть меньше, чем по тактовому входу С.) В некоторых сериях JK-триггеры несколько быстрее, чем D-триггеры, в других -- наоборот. Важный параметр триггера -- максимальная частота тактового сигнала С. Для ее приблизительной оценки можно придерживаться следующего простого правила: период тактового сигнала С не должен быть меньше величины задержки переключения триггера по входу С.

Основные схемы включения триггеров

Говоря об областях применения триггеров, мы будем рассматривать исключительно D-триггеры, так как в большинстве случаев RS- и JK-триггеры могут быть заменены D-триггерами без ухудшения каких бы то ни было параметров схемы. Примеры такой замены показаны на рис. 7.5.

RS-триггер получается из D-триггера, если в D-триггере не использовать входы C и D, например, соединить их с общим проводом (а).

Рис. 7.5 Включение D-триггера для замены RS-триггера (а) и JK-триггера в счетном режиме (б)

Сложнее обстоит дело с заменой JK-триггера, в котором предусмотрено больше возможностей, чем в D-триггере. Однако обычно два информационных входа JK-триггера не так уж и нужны. А что касается счетного режима, в котором, пожалуй, наиболее часто работают JK-триггеры, то он легко реализуется на D-триггере в результате объединения информационного входа D с инверсным выходом (б). При этом по каждому положительному фронту сигнала С триггер будет менять свое состояние на противоположное: нуль на прямом выходе будет сменяться единицей и наоборот. То есть частота входного сигнала триггера будет меньше частоты входного тактового сигнала С в два раза.

Отметим также, что для реализации счетного режима чаще всего используются не триггеры, а счетчики, которые будут рассмотрены в следующей лекции.

Особенности триггеров обусловливают наиболее широкий диапазон схем их включения для решения самых разных задач.

Например, с помощью триггера (любого типа) очень просто и эффективно решается задача устранения влияния дребезга контактов механических переключателей (рис. 7.6). Правда в данном случае необходим тумблер (или кнопка) с тремя выводами, один из которых попеременно подключается к двум другим. При этом первый отрицательный импульс на входе -R перебрасывает триггер в состояние нуля, а первый отрицательный импульс на входе -S -- в состояние единицы. Последующие же импульсы на обоих этих входах, вызванные дребезгом контактов, уже никак не влияют на триггер. Нижнее (по рисунку) положение выключателя соответствует нулю на выходе триггера, а верхнее -- единице.

Рис. 7.6 Подавление дребезга контактов выключателя с помощью триггера

Основное применение триггеры находят в тех случаях, когда надо сформировать сигнал, длительность которого соответствует длительности какой-то выполняемой операции, какого-то продолжительного процесса в схеме. Выходной сигнал триггера при этом может разрешать этот самый процесс, а может информировать остальные узлы устройства о том, что процесс идет (или, как говорят, служить флагом процесса). Например, в схеме на рис. 7.7 в начале процесса (операции) по сигналу "Старт" триггер перебрасывается в единицу, а в конце процесса (операции) по сигналу "Стоп" -- обратно в нуль.

Рис. 7.7 Использование триггера в качестве флага процесса

Для сигналов "Старт" и "Стоп" можно, конечно, использовать входы триггера -R и -S. Однако более правильным и универсальным решением будет выбор пары входов С и -R или С и -S, что предотвратит неоднозначность поведения триггера при одновременном приходе сигналов "Старт" и "Стоп". Если используются входы С и -R, то на вход D надо подать единицу, а если применяются входы С и -S, то на вход D надо подать нуль. Такое решение удобно еще и тем, что в качестве одного из сигналов "Старт" и "Стоп" может выступать не уровень, а фронт. Именно этот фронт (в нужной полярности) и надо подать в этом случае на тактовый вход триггера С.

Вторая важнейшая область применения триггеров -- синхронизация сигналов.

Например, триггер позволяет наиболее просто избавиться от паразитных коротких импульсов на выходах комбинационных схем, возникающих при почти одновременном изменении нескольких входных сигналов (рис. 7.8). Для синхронизации в данном случае необходимо иметь синхросигнал (синхропереход), сопровождающий входные информационные сигналы (входной код) и задержанный относительно момента изменения этих сигналов на время tз, большее задержки комбинационной схемы. При подаче этого синхроимпульса на вход С триггера, а выходного сигнала комбинационной микросхемы (Вых. 1) на вход D триггера на выходе триггера получаем сигнал (Вых. 2), полностью свободный от паразитных импульсов.

Рис. 7.8 Синхронизация с помощью триггера

Более того, в случае, когда входной код комбинационной схемы изменяется регулярно, периодически, фронт синхросигнала может даже совпадать с моментом изменения входного кода (рис. 7.9).

Рис. 7.9 Синхронизация с помощью триггера при периодическом изменении входного кода

При этом, за счет конечной величины задержки комбинационной схемы сигнал на вход C триггера будет поступать раньше, чем начнет изменяться сигнал на его входе D. Поэтому паразитные импульсы в триггер не запишутся. Правда, в данном случае сигнал на выходе триггера (Вых. 2) будет задержан на период следования входных кодов T (или, что то же самое, на период синхросигнала) относительно выходного сигнала комбинационной схемы (Вых. 1).

При проектировании цифровых схем, работающих по тактам единого тактового генератора, часто возникает необходимость синхронизовать с работой схемы какой-то внешний сигнал. То есть требуется обеспечить, чтобы этот сигнал (асинхронный по отношению ко всей остальной схеме) изменялся по тактам тактового генератора, как и все остальные сигналы схемы (стал бы синхронным всей остальной схеме). В этом тоже может помочь триггер.

Рассмотрим самый простой пример. Пусть необходимо с помощью внешнего сигнала разрешать и запрещать прохождение сигнала непрерывно работающего тактового генератора. В случае обычного RC-генератора эта задача иногда может быть решена довольно просто -- путем запуска и остановки генератора (см. рис. 8.22). Однако далеко не всегда допускается останавливать работу тактового генератора, от которого работает вся схема. В случае же кварцевого генератора его остановка и запуск вообще никогда не применяются, так как такой генератор начинает работать после разрешения с задержкой, равной нескольким периодам тактовой частоты, причем количество этих периодов не постоянно. Поэтому будем считать, что тактовый генератор работает постоянно, а по внешнему управляющему сигналу мы будем разрешать или запрещать прохождение его выходных импульсов (рис.7.10).

В простейшем случае (а) для пропускания и запрещения импульсов тактового генератора Г используется логический элемент 2И. При этом вполне возможна ситуация прохождения на выход схемы импульсов неполной длительности или даже предельно коротких, нестабильно появляющихся импульсов, которые могут вносить неопределенность в работу остальной схемы.

Применение синхронизирующего триггера (б) обеспечивает прохождение на выход пропускающего элемента 2И только импульсов полной длительности. Разрешающий сигнал, проходя через триггер, который тактируется разрешаемым сигналом, становится синхронным с тактовым сигналом и гарантирует прохождение на выход обязательно целого количества тактовых импульсов, целого количества периодов тактового генератора.

Рис. 7.10 Синхронизация сигнала разрешения

Триггеры позволяют также строить линии задержки цифровых сигналов, для чего несколько триггеров соединяется в последовательную цепочку, причем все они тактируются единым тактовым сигналом С. Такое включение позволяет, например, одновременно обрабатывать комбинационными схемами несколько последовательных во времени состояний какого-то одного сигнала.

В качестве примера на рис. 7.11 приведена схема, которая выделяет во входном сигнале 3-х тактовую последовательность 010. Цепочка из трех триггеров Т1, Т2 и Т3, тактируемых единым синхросигналом, запоминает три последовательных состояния входного сигнала. Например, если на выходе триггера Т2 будет зафиксировано состояние входного сигнала в N-ом такте, то на выходе триггера Т1 будет состояние входного сигнала в такте (N+1), а на выходе триггера Т3 -- в такте (N-1). Из-за конечной величины задержки переключения триггеров в каждый следующий триггер входной сигнал будет переписываться еще до того как он поменяет свое значение вследствие переключения предыдущего триггера.

Рис. 7.11 Выделение 3-тактовой последовательности тактов во входном сигнале

Подавая выходные сигналы триггеров (прямые или инверсные в зависимости от нужных уровней) на элемент И с нужным числом входов, можно зафиксировать любую 3-х тактовую последовательность во входном сигнале. Для предотвращения появления паразитных импульсов в выходном сигнале (они возможны, так как входные сигналы элемента И изменяются почти одновременно) применяется выходной триггер Т, тактируемый тем же самым общим синхросигналом. На выходе триггера Т получаем единичный сигнал, соответствующий последовательности 010 во входном сигнале. Правда, этот выходной сигнал будет задержан относительно конца выделяемой последовательности 010 на два такта.

Конечно, применение триггеров не ограничивается рассмотренными примерами, все области их применения трудно даже перечислить. Мы же рассмотрим здесь еще несколько примеров использования триггеров.

Рис. 7.12 Формирователь короткого импульса по фронту входного сигнала

триггер импульс сигнал режим

D-триггер позволяет довольно просто формировать выходной короткий импульс по фронту входного сигнала. Для этого даже не нужно никаких времязадающих RC-цепочек. Длительность выходного импульса определяется задержкой срабатывания триггера. Формирователь короткого импульса по положительному фронту входного сигнала (рис. 7.12) образуется путем подачи выходного сигнала триггера на вход сброса.

По положительному фронту на входе С триггер перебрасывается в единицу, но выходной сигнал триггера по цепи обратной связи тут же сбрасывает его обратно в нуль. Преимуществом данной схемы является то, что триггер имеет как прямой, так и инверсный выходы, поэтому мы получаем как положительный короткий импульс, так и отрицательный. В некоторых случаях в цепь этой обратной связи надо включать дополнительный инвертор для устойчивой работы схемы. Например, триггеры серии К155 не требуют инвертора, а триггеры серии КР1533 -- требуют.

Рис. 7.13 Схема разделения коротких и длинных входных импульсов

Применение триггеров совместно с другими микросхемами часто позволяет избежать появления паразитных коротких импульсов, обеспечить надежную и уверенную работу схемы. Например, на рис. 7.13 представлена схема, которая различает короткие и длинные импульсы, приходящие на ее вход. Такая схема позволяет применять одну линию связи для передачи двух сигналов разного назначения, что бывает очень удобно при связи устройств, находящихся на большом расстоянии.

На вход схемы поступают короткие импульсы (длительностью tк) и длинные импульсы (длительностью tд). Конечно, на передающем конце надо обеспечить, чтобы эти импульсы формировались по очереди и с не слишком малой задержкой друг относительно друга. На выходе схемы формируются два сигнала, один из которых соответствует приходу короткого входного импульса, а другой -- приходу длинного входного импульса.

Для различения входных импульсов используется одновибратор АГ1 с временем выдержки tв, большим tк, но меньшим tд. Применение одновибратора в данном случае оправдано, так как требуемая точность времени выдержки невысока (считаем, что длительности импульсов различаются существенно). Выходные сигналы схемы формируются с помощью двух триггеров, а не простых двухвходовых логических элементов, что полностью исключает появление паразитных импульсов на фронтах.

Принцип работы схемы ясен из приведенной временной диаграммы. Одновибратор запускается по переднему фронту входного сигнала. Выходной сигнал Вых.1, соответствующий приходу длинного импульса, начинается по заднему фронту импульса с одновибратора, а заканчивается по окончанию длинного входного импульса. Выходной сигнал Вых. 2, соответствующий приходу входного короткого импульса, начинается по заднему фронту входного импульса, а заканчивается с окончанием импульса с одновибратора.

Триггеры можно также использовать для обработки периодических последовательностей входных сигналов. При этом триггер, тактируемый кварцевым генератором, может очень точно различать частоты следования входных импульсов, то есть выполнять функцию простейшего цифрового фильтра. Такие схемы выгодно отличаются от схем с одновибраторами и времязадающими RC-цепочками возможностью полностью интегрального исполнения и отсутствием какой бы то ни было настройки.

Простейший пример подобной обработки состоит в формировании огибающей входного сигнала. То есть при приходе входного сигнала заданной частоты выходной сигнал должен быть равен единице, а при отсутствии входного сигнала -- нулю. Эта задача, как уже отмечалось (см. рис. 3.35г), может быть решена с помощью одновибратора с перезапуском (типа АГ3). Однако применение триггеров значительно увеличивает точность срабатывания и позволяет работать с частотами, близкими к предельным для данного типа триггеров. Схема формирования огибающей состоит всего лишь из двух триггеров, тактируемых внешним синхросигналом, тактом (рис. 7.14). В данном случае предполагается, что частоты входного сигнала и тактового сигнала равны между собой.

Рис. 7.14 Формирователь сигнала огибающей входного сигнала на триггерах

Триггеры включены как двухтактная линия задержки с общим тактовым сигналом С и со сбросом входными сигналами. Первый же входной сигнал последовательности начинает выходной сигнал, а заканчивается выходной сигнал через 1-2 такта после окончания входной последовательности периода тактового сигнала (в зависимости от временного сдвига входного сигнала относительно тактового сигнала). Схема работает с входным сигналом любой частоты, большей половины частоты тактового сигнала (например, при тактовой частоте 10 МГц входной сигнал должен иметь частоту, большую 5 МГц). То есть за половину периода входной частоты не должно приходить больше одного положительного фронта тактового сигнала.

Этот же формирователь огибающей можно использовать в более сложных схемах. Примером может служить фильтр, который позволяет разделить две частоты входного сигнала, пропустить более высокочастотный сигнал и отсечь более низкочастотный (рис. 7.15).

Фильтр состоит из трех триггеров и элемента 2И, работающего в режиме пропускания положительных входных импульсов. Два триггера (левые по рисунку) образуют формирователь огибающей. Третий (правый по рисунку) триггер выдает сигнал пропускания в случае, когда сигнал огибающей непрерывен, то есть когда частота входного сигнала составляет больше половины тактовой частоты. Если в момент прихода положительного фронта входного сигнала сигнал огибающей на выходе второго триггера -- нулевой, то пропускающий сигнал на выходе третьего триггера также нулевой и на выход импульсы не проходят. При этом первый входной импульс пропускаемого сигнала на выход не проходит. Цепочка из двух инверторов компенсирует задержку срабатывания третьего триггера, она задерживает входной сигнал перед подачей его на вход выходного пропускающего элемента 2И.

Рис. 7.15 Фильтр для пропускания высокочастотных сигналов на триггерах

Таким образом, фильтр надежно пропускает входные сигналы с частотой, большей половины тактовой частоты, и надежно задерживает сигналы с частотой, меньшей четверти тактовой частоты. Например, при тактовой частоте 10 МГц фильтр будет пропускать сигналы с частотой выше 5 МГц, и задерживать сигналы с частотой ниже 2,5 МГц. С частотами входного сигнала от 2,5 до 5 МГц работа фильтра не будет стабильной, она будет зависеть от временного сдвига между входным сигналом и тактовым сигналом.

Наконец, последняя схема на триггерах, которую мы рассмотрим, предназначена для кодирования входного сигнала в манчестерский код (или код Манчестер-II). Этот код широко используется при передаче сигналов на большие расстояния, в частности, в локальных сетях.

Рис. 7.16 Манчестерское кодирование

Суть манчестерского кодирования иллюстрируется рис. 7.16. Входной сигнал представляет собой последовательность бит равной длительности. В каждом такте передается один бит информации. Манчестерский код заменяет единичный информационный бит на отрицательный переход в центре битового интервала, а нулевой информационный бит -- на положительный переход в центре битового интервала. Таким образом, в центре каждого битового интервала сигнала в манчестерском коде обязательно имеется фронт (положительный или отрицательный), который может быть использован приемником этого сигнала для синхронизации приема каждого информационного бита. Поэтому манчестерский код называется самосинхронизирующимся кодом.

Кодировщик (он же шифратор) манчестерского кода (рис. 7.17) включает в себя элемент Исключающее ИЛИ, который, собственно, и производит кодирование, а также три триггера для синхронизации. Один триггер (на рисунке слева) работает в счетном режиме, деля частоту тактового сигнала в два раза. Один триггер (на рисунке в центре) синхронизирует входной информационный сигнал с тактовым сигналом половинной частоты. Наконец, последний, третий триггер (на рисунке справа) синхронизирует выходной сигнал для устранения в нем паразитных коротких импульсов в моменты изменения входного сигнала. Он фиксирует выходной сигнал элемента Исключающее ИЛИ (уже готовый манчестерский код) через четверть периода после изменения входного сигнала Вход 1 (по отрицательному фронту исходного тактового сигнала).

Рис. 7.17 Кодировщик манчестерского кода на триггерах

Размещено на Allbest.ru

...

Подобные документы

  • Сборка простейших электрических цепей. Навыки использования электроизмерительных приборов. Назначение, характеристики и принцип действия триггеров. Универсальный способ построения D-триггера из синхронного RS-триггера. Вариант схемы "прозрачной защелки".

    лабораторная работа [749,3 K], добавлен 21.11.2014

  • Общее понятие о триггерах и их разновидность. Основные параметры триггеров и логические элементы. Исследование логических элементов НЕ, Ключ, 2ИЛИ-НЕ. Анализ работы схемы D-триггера. Разработка конструкции стенда, изготовление печатной платы и макета.

    дипломная работа [1,6 M], добавлен 29.12.2014

  • Структура универсального триггера. Принцип действия устройства. Выбор и обоснование типов элементов. Корпусы микросхем и выбор в библиотеках DT. Проектирование триггера в САПР DipTrace. Электрическая принципиальная схема универсального триггера.

    курсовая работа [1,3 M], добавлен 15.11.2014

  • Физическая реализация триггеров. RS-триггер с инверсными входами. Триггеры, построенные по принципу двухступенчатого запоминания информации. Синхронные и асинхронные триггеры. Математическое моделирование триггера в приложении Electronics Workbench.

    курсовая работа [1,1 M], добавлен 26.11.2013

  • Этапы проектирования синхронной пересчетной схемы, реализующей последовательность двоичных эквивалентов заданных чисел. Определение функций внешних переходов Т-триггера. Представление работы триггера в виде таблицы его внутренних состояний и переходов.

    контрольная работа [1,3 M], добавлен 23.10.2010

  • Отличительные особенности триггера как функционального устройства. Осуществление логической операции ИЛИ-НЕ при наличии микросхем И-НЕ. Изменение состояния триггера микросхемы К561ТВ1 при подаче на тактирующий вход С серии прямоугольных импульсов.

    лабораторная работа [116,2 K], добавлен 18.06.2015

  • Классификация наиболее распространенных триггеров. Типы схемных решений, использующиеся для построения динамических триггеров любых типов. Основные характеристики систем автоматизированного проектирования ORCAD и PROTEL. Исследование работы инвертора.

    дипломная работа [2,7 M], добавлен 20.05.2013

  • Логическая схема с положительной обратной связью, имеющая два устойчивых состояния. Классификация триггеров по функциональному признаку и по способу записи информации. Асинхронные и синхронные триггеры. Разновидности входов триггера и их обозначение.

    презентация [277,9 K], добавлен 28.12.2011

  • Классификация счетчиков, их быстродействие и характеристики. Принцип работы и схема синхронного счетного Т-триггера на основе JK-триггера. Разработка и расчёт структурной и электрической принципиальной схем устройства, выбор его элементной базы.

    курсовая работа [484,3 K], добавлен 12.12.2013

  • Выбор и обоснование структурной схемы лабораторного макета, расчет ее электрических параметров. Разработка RS-триггера на дискретных элементах (транзисторах). Асинхронный и синхронный RS-триггеры на логических элементах и интегральных микросхемах.

    курсовая работа [358,9 K], добавлен 16.05.2012

  • Триггер RS-типа как элементарный автомат с двумя устойчивыми состояниями. Нахождение характеристического уравнения с помощью карты Карно. Схема счетного триггера и его реакция на смену информации в процессе её записи. Применение правила де Моргана.

    реферат [2,5 M], добавлен 12.06.2009

  • Cущность методики схемотехнического проектирования триггеров, этапы абстрактного и структурного синтеза. Характеристическая таблица функций возбуждения RS-триггера, проектирование печатной платы. Система P-CAD и условно-графическое обозначение элементов.

    курсовая работа [1,4 M], добавлен 22.12.2009

  • Анализ и синтез асинхронного счетчика с КСЧ=11 в коде 6-3-2-1 и с типом триггеров JJJJ, его назначение, разновидности и технические характеристики. Пример работы суммирующего счетчика. Синтез JK–триггера (устройства для записи и хранения информации).

    курсовая работа [2,4 M], добавлен 25.07.2010

  • Принцип действия формирователя импульса тока для запуска лазером и требуемые параметры его работы. Принцип работы таймера в схеме одиночного запуска. Каскад Дарлингтона. Операционный усилитель и схема с транзистором VT1. Принципиальная схема устройства.

    курсовая работа [119,3 K], добавлен 07.04.2008

  • Основные признаки классификации триггеров. Использование последовательных регистров для сжатия считываемой информации. Свойства счетного триггера. Назначение и работа регистров. Статические и динамические классы оперативных запоминающих устройств.

    лабораторная работа [215,1 K], добавлен 30.04.2014

  • Знакомство с особенностями выбора элементарной базы проектируемого цифрового устройства. Общая характеристика схемы дешифратора старшего разряда индикатора. Рассмотрение основных способов определения функций возбуждения триггера каждого разряда.

    контрольная работа [509,8 K], добавлен 27.04.2014

  • Признаки импульсно-статических триггеров. Динамические триггеры, выполненные на основе МДП-транзисторов. Процесс записи информации в триггер. Схема квазистатических триггеров. Применение триггеров в схемотехнике для построения сдвигающих регистров.

    реферат [291,9 K], добавлен 12.06.2009

  • Развитие микроэлектронной элементной базы. Характеристика цифровых устройств последовательного типа. Функции триггера, импульсного логического устройства с памятью. Регистр как устройство выполнения функции приема, хранения и передачи информации.

    курсовая работа [749,4 K], добавлен 12.05.2015

  • Разработка и расчет синхронного суммирующего восьмиразрядного счетчика на основе JK-триггера. Моделирование схемы в программе Electronic Work Bench. Дешифрирование входных сигналов. Характеристики цифро-буквенного индикатора АЛС314А и дешифратора 514ИД4А.

    дипломная работа [339,4 K], добавлен 13.04.2014

  • Разработка и расчет установки, состоящей из идентификатора периода информационного сигнала и необходимых дополнительных устройств, а также подобраны необходимые для реализации элементы. Петля гистерезиса триггера Шмитта. Генератор тактовых импульсов.

    курсовая работа [2,4 M], добавлен 01.02.2013

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.