Поиск ошибок проектирования в HDL-моделях цифровых устройств

Диагностирование модели цифрового устройства, характеристика различающих последовательностей. Использование графового представления описания устройства на HDL. Особенности метода поиска дефектов/ошибок проектирования при верификации HDL-моделей.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид статья
Язык русский
Дата добавления 19.06.2018
Размер файла 17,3 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Поиск ошибок проектирования в HDL-моделях цифровых устройств

Альмадхоун С.

Рассмотрены методы поиска ошибок проектирования в неструктурированном HDL-коде. Предложена модель НDL-кода для целей диагностирования в виде информационного и управляющего графов. Предложена модель ошибки проектирования и правила ее идентификации. Разработаны структурные методы поиска ошибок и метод обратного прослеживания.

Полный цикл диагностирования модели цифрового устройства (ЦУ), начиная от его описания на языках описания аппаратуры (HDL) и заканчивая поиском места возникновения ошибки проектирования, включает в себя этапы: описание модели на языках описания аппаратуры, компилирование внутренней модели, генерацию тестов, построение списка ошибок проектирования, получение эталонов, алгоритмы обработки диагностической информации и, собственно, методы поиска дефектов.

Модели на языках описания аппаратуры обладают свойством двойственности. С одной стороны, они формально выглядят и ведут себя как код на языках программирования (например, при использовании подпрограмм или переменных); с другой стороны, обладают рядом кардинальных отличий, присущих аппаратуре (сигналы, параллелизм, синтезируемость). Отсюда невозможно тестировать и диагностировать такие модели методами исключительно верификации ПО или методами диагностирования аппаратуры. С точки зрения уменьшения размерности задачи диагностирования необходимо подойти к HDL-коду, как к аппаратуре, и соответственно с этим строить тесты и проводить диагностические эксперименты с использованием процедур аппаратной тестовой диагностики. Исходя из двойственности HDL - модели, определим характер возможных ошибок, их влияние на конечную реализацию (устройство после синтеза) и методы поиска. Вместо термина «дефект» и «неисправность» в дальнейшем будет использоваться понятие «ошибка проектирования». Для HDL-моделей вводится модель ошибки проектирования, соответствующая ошибке в любом операторном выражении и не относящаяся к синтаксическим ошибкам.

В качестве модели объекта диагностирования можно эффективно использовать графовое представление описания устройства на HDL [1]. Описание на HDL представляется в виде двух графов. Информационный I-граф описывает поток данных и их преобразование (подобно операционному автомату в классической композиционной модели с микропрограммным управлением) без учета условных ветвей. I-граф содержит два типа вершин: операнды и функции. Типы операндов: целые числа и беззнаковые вектора. Типы функций ограничены синтезируемым подмножеством HDL (то есть теми конструкциями, которые имеют физические эквиваленты в системах синтеза и имплементации в САПР). Управляющий С-граф соответствует цепочке условий, при выполнении которых выполняется тот или иной оператор. С-граф содержит условные конструкции (например, case, if...then..., with … select) из исходного описания ЦУ.

С-граф - это граф с 2-мя типами вершин: условия и метки. Вершины условий содержат вычисляемые условия. Вершины меток - конечные, не имеющие входной дуги и содержащие имя метки. Результат моделирования С-графа - набор меток (метка), по которым осуществляются переходы в I-графе. В такой интерпретации С-граф соответствует управляющему автомату. цифровое устройство дефект графовый

Учитывая, что операторы HDL, выбранные в качестве функциональных примитивных элементов (ПЭ), не содержат внутри себя ошибок, очевидно, что подача на ПЭ тестов проверки исправности является нецелесообразной. Поэтому смысл тестирования примитива состоит не в проверке функционирования, а идентификации его типа. Таким образом, на примитив необходимо подать такие тестовые наборы, чтобы после анализа реакций на них можно идентифицировать тип (функцию) примитива и отличить его от других ПЭ. Такие различающие последовательности (РПС) позволяют найти ошибки связанные с подменой операторов в HDL - коде. Выбор сделан в пользу РПС, а не стандартных проверяющих тестов в основном потому, что в основе построения проверяющих тестов лежат понятия транспортирования и активизации пути, по которому неисправность транспортируется на внешний выход схемы. А это не всегда представляется возможным сделать, так как в
HDL-коде функциональные неисправности могут быть замаскированы дальнейшими вычислениями таким образом, что ошибка не будет наблюдаться на внешнем выходе.

Для декомпозиции исходного графа используются контрольные точки (аналогично контрольным точкам при генерации тестов, которые позволяли «разбить» путь активизации и определить границы подграфов). Данные контрольные точки (КТ) будут выходами каждого из подграфов. Таким образом, ошибка проектирования транспортируется на внешний выход каждого подграфа. Особенностью диагностирования HDL-модели является отсутствие эталонного HDL-кода, поэтому вычислить эталонные значения сигналов во всех КТ без привлечения внешних способов невозможно. Исходя из этого, определяются два типа контрольных точек, используемых при поиске места ошибки в HDL-коде в условиях отсутствия исчерпывающей (полной) спецификации. КТ первого рода - сигналы (переменные) модели, которые наблюдаемы, и эталонные значения которых известны из спецификации.

КТ второго рода - сигналы (переменные) модели, значения в которых наблюдаемы, но до начала диагностического эксперимента эти значения неизвестны.

Таким образом, общую методику диагностирования HDL-модели можно определить такой последовательностью шагов:

1. Составление модели на основе I-графа и С-графа , «разрыв» обратных связей в этой модели.

2. Определение класса ошибок проектирования, составление РПС для этих ошибок и тестирование модели на основе подачи РПС и сравнение с имеющимися эталонами.

3. Сужение области подозреваемых дефектов на основе структурного метода для многовыходовых моделей.

4. Применение структурного метода поиска для подграфов только с контрольными точками первого рода.

5. Применение структурно-функционального метода обратного прослеживания для «доискивания» в подграфах ограниченных контрольными точками первого рода.

Применение метода обратного прослеживания обусловлено тем, что КТ первого рода гораздо меньше, чем количество операторов HDL-кода. Поэтому необходимо выполнять поиск ошибочного оператора в условиях отсутствия эталонных сигналов и эталонного кода на участках программы, ограниченных КЕ первого рода [2].

Предложенный метод поиска дефектов/ошибок проектирования при верификации HDL-моделей позволяют не только говорить о наличии ошибки проектирования, но и точно определить место её возникновения (локализовать дефект).

Научная новизна полученных результатов состоит в модификации и применении технологий поиска дефектов в цифровых системах к моделям на языках описания аппаратуры (HDL). Практическая значимость заключается в возможности автоматизировать поиск ошибок проектирования в HDL-коде в условиях неполной спецификации.

В перспективе данные результаты могут быть включены в систему верификации HDL-кода (Testbench) в качестве режима отладки (debug-функция).

СПИСОК ЛИТЕРАТУРЫ

1. Шкиль, А. С. Методы поиска ошибок проектирования в HDL-коде [Текст] / А. С. Шкиль, Е. Е. Сыревич, Д. Е. Кучеренко, Г. П. Фастовец // Радиоэлектроника и информатика. - Харьков, 2008 - №. 3. - С. 47 - 53.

2. Шкиль, А. С. Метод обратного прослеживания для поиска ошибок проектирования в HDL-коде [Текст] / А. С. Шкиль, Е. Е. Сыревич, Д. Е. Кучеренко, Самер Альмадхоун // Радиоэлектроника. Інформатика. Управління. - Запоріжжя: ЗНТУ, 2009 - №. 2. - С. 86-90.

Размещено на Allbest.ru

...

Подобные документы

  • Разработка функциональных частей единого цифрового устройства: логического устройства; счетчика, одновибратора, синхронизирующего поступление информации на счетчик; дешифратора для представления результата работы устройства в доступной для человека форме.

    курсовая работа [314,9 K], добавлен 31.05.2012

  • Структурная схема цифрового устройства. Проектирование одновибратора на интегральных таймерах. Минимизация логической функции цифрового устройства по методу Квайна и по методу карт Карно. Преобразование двоичного числа. Расчет номиналов сопротивлений.

    курсовая работа [319,2 K], добавлен 31.05.2012

  • Алгоритмическое, логическое и конструкторско-технологическое проектирование операционного автомата. Изучение элементной базы простейших цифровых устройств. Разработка цифрового устройства для упорядочивания двоичных чисел. Синтез принципиальных схем.

    курсовая работа [2,5 M], добавлен 07.01.2015

  • Параметры устройства защиты от ошибок на основе системы с обратной связью. Разработка варианта оптимизации УЗО по критерию сложности, обеспечивающего передачу информации в системе документальной электросвязи по дискретному каналу с заданным качеством.

    курсовая работа [341,4 K], добавлен 26.11.2011

  • Разработка функционально законченного устройства для обработки входных сигналов линии с использованием цифровых устройств и аналого-цифровых узлов. Алгоритм работы устройства. Составление программы на языке ассемблера. Оценка быстродействия устройства.

    курсовая работа [435,5 K], добавлен 16.12.2013

  • Построение схемы цифрового устройства и разработка программы, обеспечивающей работу устройства как цифрового сглаживающего фильтра. Отладка программы. Оценка быстродействия устройства. Преимущества и недостатки цифровых фильтров перед аналоговыми.

    курсовая работа [526,8 K], добавлен 03.12.2010

  • Основные этапы проектирования контрольной аппаратуры. Анализ цифрового вычислительного комплекса. Разработка устройства контроля ячеек постоянного запоминающего устройства с использованием ЭВМ. Описание функциональной схемы устройства сопряжения.

    дипломная работа [1,9 M], добавлен 24.09.2012

  • Обзор современных схем построения цифровых радиоприемных устройств (РПУ). Представление сигналов в цифровой форме. Элементы цифровых радиоприемных устройств: цифровые фильтры, детекторы, устройства цифровой индикации и устройства контроля и управления.

    курсовая работа [1,3 M], добавлен 15.12.2009

  • Исследование внутреннего устройства и архитектуры современных модемов. Распределение функций между составными частями модема. Анализ функций аналоговых и цифровых модемов, связанных с обработкой сигналов. Метод преобразования аналоговых данных в цифровые.

    курсовая работа [335,9 K], добавлен 09.11.2014

  • Логические основы синтеза цифровых устройства. Понятия и определения функций алгебры логики. Минимизация логических функций с помощью алгебраических преобразований, карт Карно. Построение аналитической модели устройства. Анализ и выбор элементной базы.

    контрольная работа [696,4 K], добавлен 19.10.2011

  • Декодирование циклического кода с обнаружением ошибок. Способы декодирования с исправлением ошибок и схемная реализация декодирующих устройств. Коды Рида-Соломона являются недвоичными циклическими кодами. Синдром образцов ошибок с ненулевым коэффициентом.

    реферат [175,0 K], добавлен 11.02.2009

  • Изучение различных типов устройств СВЧ, используемых в схемах распределительных трактов антенных решеток. Практические расчеты элементов автоматизированного проектирования устройств СВЧ на основе метода декомпозиции. Конструирование баз и устройств СВЧ.

    контрольная работа [120,9 K], добавлен 17.10.2011

  • Особенности проектирования микропроцессорного устройства "Цифровой осциллограф". Выбор микроконтроллера, описание периферийных устройств. Разработка принципиальной схемы устройства и программы для микроконтроллера, осуществляющей все функции устройства.

    курсовая работа [923,5 K], добавлен 24.12.2012

  • Синтез цифрового устройства управления в базисах мультиплексоров, логических элементов Шеффера и Пирса. Схемотехническое моделирование синтезированных схем. Оценка работоспособности полученных моделей с индикацией заданных значений логической функции.

    курсовая работа [382,8 K], добавлен 29.05.2013

  • Канонические формы представления логической функций. Сущность методов минимизации Квайна, Квайна-Мак-Класки и карт Вейча, получение дизъюнктивной и конъюнктивной форм. Модели цифрового комбинационного устройства с помощью программы Electronics Workbench.

    курсовая работа [416,4 K], добавлен 28.11.2009

  • Интегральные микросхемы, сигналы. Такт работы цифрового устройства. Маркировка цифровых микросхем российского производства. Базисы производства цифровых интегральных микросхем. Типы цифровых интегральных микросхем. Схемотехника центрального процессора.

    презентация [6,0 M], добавлен 24.04.2016

  • Технические характеристики цифрового компаратора. Описание цифровых и аналоговых компонентов: микросхем, датчиков, индикаторов, активных компонентов, их условные обозначения и принцип работы. Алгоритм работы устройства, структурная и принципиальная схемы.

    курсовая работа [1023,2 K], добавлен 29.04.2014

  • Минимизация булевых функций. Исследование алгоритмов синтеза цифровых устройств систем автоматического управления. Разработка программного обеспечения для реализации оптимального метода синтеза. Проект цифрового устройства статистического мажорирования.

    отчет по практике [3,9 M], добавлен 28.04.2015

  • Анализ методики проектирования и расчета электронных устройств. Разработка функциональной, принципиальной схем устройства аналого-цифрового преобразования. Расчет транзисторного ключа. Генератор тактовых импульсов. RS триггеры и логические элементы.

    курсовая работа [1,3 M], добавлен 16.03.2012

  • Применение оборудования для цифровых систем передачи, основанных на принципах импульсно-кодовой модуляции. Специальные приемы кодирования, назначение и устройство приемника циклового синхросигнала. Возможности для проектирования цифрового устройства.

    курсовая работа [524,4 K], добавлен 14.03.2010

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.