Преобразование позиционного десятичного кода в двоичный

Разработка шифратора для преобразования позиционного десятиразрядного единичного кода в двоичный код. Размещение проекта в микросхеме. Анализ реализации верификации программы. Демонстрация принципиальной электрической схемы проектируемого цифрового узла.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 05.04.2020
Размер файла 1,6 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ РОССИЙСКОЙ ФЕДЕРАЦИИ

Федеральное государственное автономное образовательное учреждение высшего образования Российской федерации

«ЮЖНЫЙ ФЕДЕРАЛЬНЫЙ УНИВЕРСИТЕТ»

Институт радиотехнических систем и управления

Кафедра радиотехнических и телекоммуникационных систем

Пояснительная записка

По дисциплине: «Программируемые логические устройства и системы на кристалле»

На тему: «Преобразователь позиционного десятичного кода в двоичный»

Выполнил:

Хмыров Д.С.

Проверил:

Шеболков В.В.

Таганрог 2019

Техническое задание

1. Разработать шифратор для преобразования позиционного десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код в заданной САПР Xilinx ISE Design Suite 14.7. Структурное описание узла. Структурное описание. Размещение проекта в микросхеме.

2. Произвести синтез и реализацию проекта на ПЛИС.

3. Реализовать верификацию проекта.

4. Продемонстрировать принципиальную электрическую схему проектируемого цифрового узла.

Содержание

Введение

1. Анализ технического задания. Описание и принцип работы проектируемого узла

2. Описание программы и проектирование шифратора для преобразования десятичного кода в двоичный код в Xilinx ISE Design Suite 14.7

2.1 Создание шаблона проекта

2.2 Моделирование работы шифратора

2.3 Синтез проектируемого устройства на RTL-уровне описания и на основе стандартных элементов ПЛИС

2.4 Функциональное моделирование

Заключение

Список использованных источников

Введение

Система на кристалле (СнК), однокристальная система -- электронная схема, выполняющая функции целого устройства и размещенная на одной интегральной схеме.

В зависимости от назначения СнК может оперировать как цифровыми сигналами, так и аналоговыми, аналого-цифровыми, а также частотами радиодиапазона - все на одной подложке чипа. СнК широко распространены на рынке мобильной электроники из-за низкого энергопотребления. Обычно применяются в сфере встроенных систем.

Разница с микроконтроллером невелика. Микроконтроллеры обычно имеют менее 100 кб оперативной памяти (обычно даже несколько килобайт) и часто на самом деле являются СнК, в то время как термин СнК используется для более мощных процессоров, способных запускать программы вроде настольных версий Windows и Linux, которым требуется внешняя память для полезной работы и которые могут быть использованы с различными внешними периферийными устройствами. Многие системы являются слишком сложными, чтобы уместиться на одном кристалле, построенным на процессоре, оптимизированным на выполнение только одной из множества задач систем.

Типичная System-on-a-Chip (SoC) содержит:

· один или несколько микроконтроллеров, микропроцессоров или ядер цифровой обработки сигналов(DSP). SoC, содержащий несколько процессоров, называют многопроцессорной системой на кристалле(MPSoC).

· банк памяти, состоящий из модулей ПЗУ, ОЗУ, ППЗУ или флеш.

· источники опорной частоты, например, кварцевые резонаторы и схемы ФАПЧ (фазовой автоподстройки частоты),

· типовые цифровые узлы: таймеры, счётчики, цепи задержки после включения,

· блоки, реализующие стандартные интерфейсы для подключения внешних устройств: USB, Fire Wire, Ethernet, USART, SPI.

· блоки цифро-аналоговых и аналого-цифровых преобразователей.

· регуляторы напряжения и стабилизаторы питания.

Рисунок 1. СнК, построенная на микропроцессоре

Одной из типичных задач при проектировании систем на кристалле является автоматизированная разработка цифровых узлов.

В курсовом проекте рассматривается технология шифратора для преобразования десятичного кода в двоичный код.

Для проектирования цифровых устройств на ПЛИС с применением языка HDL-языков могут использоваться различные системы автоматизированного проектирования (САПР). В настоящем курсовом проекте используется работа системы Xilinx ISE Design Suite 14.7.

1. Анализ технического задания. Описание и принцип работы проектируемого узла

В соответствии с техническим заданием было необходимо разработать шифратора для преобразования позиционного десятичного в двоичный код. Шифратор -- это комбинационное устройство, преобразующее десятичные числа в двоичную систему счисления, причем каждому входу может быть поставлено в соответствие десятичное число, а набор выходных логических сигналов соответствует определенному двоичному коду. Шифратор иногда называют «кодером» и используют для перевода десятичных чисел в двоичные числа. Если количество входов настолько велико, что в шифраторе используются все возможные комбинации сигналов на выходе, то такой шифратор называется полным, если не все, то неполным.

Рассмотрим пример построения шифратора для преобразования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагается, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход. Условно-графическое обозначение (УГО) такого шифратора и таблица соответствия кода приведены на рисунке 2.

Рисунок 2. УГО шифратора и таблица соответствия кода

Используя данную таблицу соответствия, запишем логические выражения, включая в логическую сумму те входные переменные, которые соответствуют единице некоторой выходной переменной. Так, на выходе у1 будет логическая «1» тогда, когда логическая «1» будет или на входе Х1, или Х3, или Х5, или Х7, или X9, т. е. у1 = Х1+ Х3+ Х5+ Х7+X9. Аналогично получаем у2 = Х2 + Х3 + Х6 + X7; у3 = Х4 + Х5 + Х6 + Х7 у4 = Х8 + X9.

Рисунок 3. Принципиальная схема данного шифратора

2. Описание программы и проектирование шифратора для преобразования десятичного кода в двоичный код в Xilinx ISE Design Suite 14.7

Xilinx ISE Design Suite начиная с 4 версии по своей сути мало чем менялась. В основе оставался синтезатор XST, который «подпиливался» с выходом очередного семейства микросхем, ну и конечно же устранялись ошибки. То же самое можно отметить и об интерфейсе. Тем не менее, шли годы, ISE Design Suite становилась лучше. И имеем мы на сегодняшний день 14 версию САПР вполне даже пригодную к серьезной работе.

На рисунке 4 приведен интерфейс ISE Design. В рисунке 4 выделены 2 основные области. Такой подход позволит качественно разобраться с назначением вкладок и разделов САПР.

Рисунок 4. Интерфейс ISE WebPACK Design Software 14.7

Область #1 «Иерархия (Дерево проекта»). В этом окне отображается иерархия проекта. Все модули можно посмотреть именно в этом окне, каждый блок имеет собственный уникальный номер типа «XLXI_16» и наименование блока «BR_AK_4». Так же здесь отображается наименование корневого каталога, в котором хранится наш проект. Чуть ниже указан тип кристалла, для которого создан наш проект, т.е. тип ПЛИС (FPGA). Следующим в иерархии стоит верхний уровень проекта. И далее верхний уровень проекта делится на свои составные части в зависимости от сложности.

Область #2 «Процессы». Здесь располагаются основные узлы управления проектом: отчеты, заданные ограничения, процессы синтеза, трассировки кристалла и т.д. Теперь подробнее о самых основных:

Ш «Design Symmary/Reports» - основная информация о проекте. Здесь зачастую приходится контролировать ресурсы ПЛИС.

Ш «Design Utilities» - здесь расположены вспомогательные утилиты для работы в ISE. К ним относится утилита по созданию схематического образа компонента.

Ш «User Constraints» - пользовательские ограничения, то есть именно тут задают временные ограничения для глобальных тактовых линий.

Ш «Synthesize - XST» - синтезатор типа XST. Синтезирует проект для конкретно выбранного типа кристалла ПЛИС. Позволяет посмотреть «RTL» модель написанного или нарисованного блока.

Область #3 «Консоль». В этом окошке отображаются все информационные рабочие процессы (системные сообщения).

Область #4 «Общие сведения о проекте». Таблицы, отражающие информацию об используемом кристалле, версии САПР ISE, наименование проекта, количество ошибок, предупреждений и т.п. Основной таблицей этого раздела является отчет о доступных и задействованных ресурсов выбранного кристалла.

2.1 Создание шаблона проекта

Чтобы создать новый проект необходимо выбрать в файловом меню File -> New Project, откроется окно, представленное на рисунке 5.

Рисунок 5. Создание проекта «dec_bi»

Из рисунка видно, что предлагается заполнить 5 граф:

· «Name» - здесь указываем ЛАТИНСКИМИ буквами наименование проекта;

· “Location” - указываем место расположения будущего проекта.

Рисунок 6. Создание проекта в окне «Project Settings»

Использовать только латинские символы при указании пути;

· “Working Directory” - путь к рабочей директории;

· “Description” - описание к создаваемому проекту;

· “Top - level source type” - указываем тип верхнего (топового) уровня проекта.

Далее нажать кнопку «Next» и перейти в окно «Project Settings» (Задание настроек проекта) - рисунок 6.

В этом окне выбираем семейство микросхем Xilinx (например,“Spartan6”), затем саму микросхему (например, “XC6SLX4”). Далее указываем тип корпусного исполнения для выбранной микросхемы “CSG225” и её скорость “-3”. Все перечисленные величины можно найти на маркировке самой микросхемы.

Рисунок 7. Заданные параметры в окне «Project Summary»

В этом окне можно проверить все то, что мы указали ранее. Нажимаем кнопку «Next» и видим пустой только что созданный проект (рисунок 8).

Рисунок 8. Пустой загрузившийся проект «dec_bi».

Ниже указан тип топового (верхнего) уровня проекта - схемотехнический. Далее нам предлагается выбрать тип синтезатора, оставляем по умолчанию. Тут же можно указать симулятор, которым вы будете пользоваться при отладке. Нажимаем кнопку «Next» и переходим в окно «Заданные настройки» (Заданные настроек проекта) см. рисунок 7.

2.2 Моделирование работы шифратора

По завершению создания проекта необходимо создать выбрать способ описания проектируемого модуля проекта (ему по умолчанию будет назначен верхний уровень проекта). Для этого необходимо выбрать в файловой строке вкладку Project -> New Source… . Откроется окно, показанное на рисунке 9.

Рисунок 9. Мастер создания нового модуля

В открывшимся окне в графе “Select source type, file name and its location” необходимо выбрать вид представления описания проектируемого модуля. То есть это может быть языки формального описания аппаратуры (Verilog или VHDL) или схемотехническое представление, либо генератор стандартных функций (IP CORE). Выберем самый наглядный и простой для начинающего схемотехнический вид “Schematic”. Правее в соответствующей графе указываем имя будущего описания и место его нахождения.

Проверяем введенную информацию и нажимаем “Finish”, после чего откроется чистое поле схемотехнического редактора с именем “dec_bi.sch” (рисунок 10). шифратор код микросхема цифровой

Рисунок 10. Окно схематического редактора

Теперь создадим и добавим в проект новый модуль, выполненный на основе описания на языке Verilog. Для этого следует вернуться к вкладке Design, вновь вызвать из главного меню функцию Project - New Source, задать тип нового модуля (Verilog Module) и присвоить ему имя «deec_bii» (рисунок 11).

Рисунок 11. Добавление в проект модуля «decc_bii», представляющего собой код различного описания на языке Verilog

На следующем шаге необходимо определить интерфейс (указать входные и выходные порты) создаваемого логического модуля. Далее следует нажать кнопку Next и проверить правильность введенных данных, изучив выведенный отчет. После нажатия кнопки Finish, в навигаторе проекта появится новый модуль, а в окне документов станет доступным редактирование шаблона кода его описания. Для решения поставленной задачи необходимо вписать в шаблон в строчку с кодом (рисунок 12):

or m1 (y1,x1,x3,x5,x7,x9);

or m2 (y2,x2,x3,x6,x7);

or m3 (y3,x4,x5,x6,x7);

or m4 (y4,x8,x9);

Рисунок 12. Редактирование кода структурного описания модуля

Отредактированный код структурного описания следует сохранить (меню File - Save). Затем следует выполнить проектную процедуру проверки синтаксиса кода.

Для этого необходимо перейти на вкладку Design, далее в иерархическом дереве в окне модулей проекта выделить название редактируемого файла «reg8.v» и в окне Process и дважды щелкнуть на строчке вызова приложения Check Syntax (см. рисунок 13).

Рисунок 13. Вывод сообщения об успешной проверки синтаксиса кода

Если проверка синтаксиса прошла успешно, то напротив строки с кнопкой вызова приложения Check Syntax появится зеленый кружок с галочкой. После этого следует выполнить процедуру создания символьного представления разработанного логического модуля (в данном случае - модуля deec_bi.v).

Для создания символьного представления модуля и включения его в принципиальную схему следует выполнить следующие действия.

· Выделить в окне исходных модулей проекта строку с названием модуля «deec_bii» (deec_bii.v), после чего в окне Process откроется в список процессов, доступных для данного модуля.

· Дважды щелкнуть на строке Design Utilites - Create Schematic Symbol, проверить, что в окне консоли появилось сообщение об успешном выполнении компиляции графического символа логического модуля.

· Открыть из окна модулей процесса документ с принципиальной схемой проекта, раскрыть закладку Symbol и убедиться, что в списке библиотечных элементов проекта в категории «…<= All symbols =>» появился модуль «deec_bii.v».

Рисунок 14. Пример черновика принципиальной схемы разрабатываемого устройства

2.3 Синтез проектируемого устройства на RTL-уровне описания и на основе стандартных элементов ПЛИС

Контекстное окно Processes содержит список всех проектных процедур, доступных пользователю ISE в зависимости от выделенного модуля проекта. В общем случае процесс проектирования в среде ISE заканчивается выполнением процедур трансляции главного файла проекта.

Трансляция проекта включает три основных этапа:

· Synthesize (синтез устройства);

· Implement Design (размещение устройства в выбранной микросхеме ПЛИС с использованием ее ресурсов);

· Generate Programming File (создание бинарного файла для выполнения процедуры прошивки конфигурации разработанного устройства в ПЛИС).

Примечание к рисунку 15: восклицательный знак в желтом треугольнике напротив операции Synthesize-XST говорит сейчас о том, что в данной принципиальной схеме (рисунок 14) и в Verilog Module «decc_bii» не используется вход «x0». Это объясняется тем, что, если взглянуть на таблицу истинности (рисунок 2), можно наблюдать наличие в первой строке всех логических нулей. Но несмотря на данное предупреждение, синтез устройства был успешно завершён.

Рисунок 15. Пример списка процедур трансляции для модуля dec_bi.sch

Порядок процедур в списке соответствует последовательному поэтапному проектированию сверху-вниз, и пользователь при необходимости имеет альтернативную возможность выполнять трансляцию по шагам, контролируя результаты после каждого этапа. В частности, на этапе размещения устройства в конкретной ПЛИС, можно просмотреть как реализован проект на уровне описания цепей сигналов и сохранения их состояний в регистрах (RTL-уровень). Для этого нужно вызвать из раздела списка Synthesize -XST процедуру View RTL Schematic и включить второй пункт в окне представления результатов.

Рисунок 16. Пример результата выполнения процедуры Synthesize -XST - View RTL Schematic. Проектируемое устройство представлено в виде модуля верхнего уровня с интерфейсными портами.

Используя процедуру Synthesize -XST - View Technology Schematic, можно проследить, как транслятор ISE распорядился ресурсами микросхемы, т.е. проконтролировать, как устройство собрано из стандартных элементов, содержащихся в данной микросхеме ПЛИС. Пример приведен на рисунке 17.

Рисунок 17. Пример результата выполнения процедуры Synthesize -XST - View RTL Schematic на нижнем уровне иерархии.

Термин функциональное моделирование означает - программную симуляцию поведения виртуальной модели устройства при воздействии тестовых входных сигналов. САПР ISE также имеет встроенные средства моделирования - утилиту iSim. Это HDL- симулятор, который встроен в среду XILINX ISE. Он позволяет нам произвести функциональное и временное моделирование для языков VHDL, Verilog.

При моделировании используется подход, основанный на создании и применении специальной моделирующей программы - «испытательного стенда» (TestBench), к которому подключается моделируемое устройство (UUT, Unit Under Test). TestBench представляет собой внешний модуль, в котором описываются тестовые воздействия, а UUT входит в этот модуль на правах компонента или модуля более низкого уровня иерархии.

Рисунок 18. Пример результата выполнения процедуры Synthesize -XST- View Technology Schematic .

2.4 Функциональное моделирование

TestBench может быть реализован на одном из HDL-языков. В САПР ISE для описания TestBench могут применяться либо Verilog, либо VHDL. Чтобы в проект добавить тестовый модуль необходимо ввести в главном меню команду Project|New Source…и в открывшемся диалоговом окне выбрать из списка тип вновь создаваемого модуля: Verilog Test Fixture (либо VHDL Test Bench).

Рисунок 19. Окно для создания модуля TestBench

При добавлении тестового файла в проект САПР ISE также запрашивает ассоциирование этого файла с одним из имеющихся модулей. Этот запрос необходим, чтобы сгенерировать шаблон теста испытательного стенда с автоматической привязкой к портам тестируемого модуля.

Рисунок 20. Привязка модуля описания тестовых воздействий к синтезируемому модулю проекта

При поведенческом или структурном описании тестового модуля необходимо строго придерживаться правил написания кодов на языке Verilog. После внесения изменений необходимо выполнить синтаксический контроль модуля dec_bi_dec_bi_sch_tb. Для этого в окне Design необходимо указать на флаг Simulation, в дереве иерархии модулей указать на dec_bi_dec_bi_sch_tb (TB.v), а в окне процессов двойным щелчком мыши включить режим Behavioral Check Syntax (рисунок 21).

Рисунок 21. Синтаксический контроль TB

После проведения синтаксического контроля можно перейти к моделированию работы созданного модуля. Для этого достаточно дважды щелкнуть по окне процессов по строке Simulation Behavioral Model (рисунок 21).

Рисунок 22. Окно моделирования

В результате начнет работать программа моделирования iSim и по завершении теста откроется основное окно программы, содержащее: главное меню, палитру инструментов, окно имен классов и процессов проекта (Instance and Process Name), окно объектов моделирования (Object Name) и окно временной диаграммы с результатами моделирования.

Заключение

Таким образом, требования технического задания на проектирование выполнены в полном объеме. Проектируемый шифратор может быть реализован на микросхеме XC6SLX4 в среде Xilinx ISE Design Suite 14.7. Среда Xilinx ISE Design Suite 14.7 подходит для реализации проектов более сложного уровня.

Список использованных источников

1. Зотов В. Ю. Проектирование цифровых устройств на основе ПЛИС фирмы XILINX в САПР WebPACK ISE. - М.: Горячая линия-Телеком. 2003.

2. Кнышев Д. А., Кузелин М. О. ПЛИС фирмы XILINX: описание структуры основных семейств. - М.: ДОДЭКА. 2001.

3. Угрюмов Е. П. Цифровая схемотехника. - СПб.: БХВ-Петербург. 2001.

4. Гурин Е. И. Программируемые логические интегральные схемы. - Пенза: Изд-во ПГУ. 2003.

5. Бродин В., Калинин А. Учебные классы микропроцессорной техники и ПЛИС. // Chep News. - 2000. - .№ 10.

6. Тарасов И.Е., Потехин И.Е. Разработка систем цифровой обработки сигналов на базе ПЛИС. М.: Горячая линия - Телеком, 2007. - 248 с.

Размещено на Allbest.ru

...

Подобные документы

  • Проектирование логического устройства, выполняющего преобразование позиционного кода в n-разрядный двоичный код. Использование шифраторов в разных устройствах ввода информации в цифровых системах. Базис Шеффера. Минимизация карты Карно высокого порядка.

    лабораторная работа [1,7 M], добавлен 25.04.2014

  • Системы радио и проводной связи, цифровые устройства. Схема формирования входного двоичного кода, преобразования кодов и управления. Индикация выходного двоичного кода, состоящая из светодиодов. Схема индикации десятичного эквивалента преобразуемого кода.

    курсовая работа [857,0 K], добавлен 10.02.2012

  • Разработка блок-схемы и программы работы микропроцессорного устройства для контроля и индикации параметров, изменяющихся по случайному закону 8-разрядного двоичного кода. Разработка принципиальной схемы функционирования устройства в среде САПР P-CAD.

    курсовая работа [709,6 K], добавлен 24.05.2015

  • Разработка устройства преобразования аналоговых сигналов на базе микроконтроллера PIC16F877 и ЦАП AD5346, осуществляющее преобразование в последовательность двоичных кодов, обработку кодов и преобразование результатов обработки в аналоговые сигналы.

    курсовая работа [1,6 M], добавлен 06.06.2012

  • Описание принципа действия принципиальной электрической схемы устройства. Расчет параметров теплового режима блока и выбор радиаторов для охлаждения полупроводниковых приборов. Монтаж аппаратуры на печатных платах. Порядок сборки и эксплуатации.

    курсовая работа [135,4 K], добавлен 16.05.2017

  • Проектирование цифрового устройства преобразующего входные комбинации двоичного кода в управляющие сигналы семисегментного индикатора с помощью метода карт Карно. Построение прибора в программе "Electronics Workbench 5.12" на элементах "И", "ИЛИ", "НЕ".

    курсовая работа [1,1 M], добавлен 21.02.2016

  • Нахождение двоичного циклического кода Хэмминга, обеспечивающего передачу сообщений в системе связи с заданной вероятностью выдачи ложного сообщения. Структурная схема алгоритма расчета кода, листинг программы. Функциональные схемы кодера и декодера.

    курсовая работа [713,7 K], добавлен 11.02.2011

  • Основные способы реализации преобразователей кодов. Структурная схема преобразователя двоичного кода, описание работы ее составных элементов: DIP-переключателей, семисегментного индикатора с дешифратором. Основы моделирования схемы в среде Quartus II.

    контрольная работа [414,9 K], добавлен 31.07.2010

  • Генерация четырехбитного кода цифр. Составление таблицы истинности для четырех входных переменных. Генераторы импульсов на логических элементах. Разрядность двоичного параллельного цифрового кода. Формирование последовательности номера телефона.

    курсовая работа [857,1 K], добавлен 08.03.2016

  • Процедура аналого-цифрового преобразования непрерывных сигналов. Анализ преобразователей последовательных кодов в параллельный. Преобразователи с распределителями импульсов. Разработка преобразователя пятнадцатиразрядного последовательного кода.

    курсовая работа [441,5 K], добавлен 09.12.2011

  • Система аналого-цифрового преобразования быстроизменяющегося аналогового сигнала в параллельный десятиразрядный код, преобразования параллельного цифрового кода в последовательный код. Устройство управления на логических элементах, счетчик импульсов.

    курсовая работа [98,8 K], добавлен 29.07.2009

  • Проектирование устройства преобразования последовательного кода в параллельный и наоборот. Общая схема алгоритма функционирования устройства, разработка принципиальной электрической схемы. Схема сброса по питанию, генератор импульсов, триггер готовности.

    курсовая работа [1,2 M], добавлен 14.07.2012

  • Разработка преобразователя двоичного кода на базе элементов 2И и его расчет с простым инвертором по максимальным значениям входного и выходного тока для уровня логического нуля. Построение двоичного счётчика со схемой гашения на базе синхронного триггера.

    курсовая работа [753,2 K], добавлен 26.02.2013

  • Система сбора и преобразования информации, автоматизация проектных работ. Выбор и обоснование структурной схемы системы. Ручной расчет схемы электрической принципиальной. Параметры помехоустойчивого кода, расчет фильтра, характеристика аналоговой части.

    курсовая работа [709,9 K], добавлен 07.10.2011

  • Разработка принципиальной электрической схемы цифрового умножителя положительных чисел для обеспечения последовательного ввода информации в линию связи с осуществлением преобразования параллельной формы представления информации с выхода сумматора.

    контрольная работа [40,8 K], добавлен 22.06.2012

  • Описание функциональной схемы цифрового устройства для реализации микроопераций. Выбор элементной базы для построения принципиальной электрической схемы цифрового устройства. Разработка и описание алгоритма умножения, сложения, логической операции.

    курсовая работа [684,0 K], добавлен 28.05.2013

  • Проектирование устройства преобразования цифровой информации в аналоговую и наоборот для цифрового магнитофона. Описание используемых интегральных микросхем. Разработка структурной и принципиальной схемы цифрового канала звукозаписи без кодера и декодера.

    курсовая работа [1,8 M], добавлен 18.10.2010

  • Изучение полного дешифратора с прямыми и инверсионными выходами. Общая схема организации контроля по четности. Преобразователь кода Грея в двоичный код. Синтез логической схемы одноразрядного арифметического полного сумматора на основе мультиплексоров.

    реферат [28,9 K], добавлен 24.12.2010

  • Параметры делителя частоты. Теоретическое обоснование схемного решения. Асинхронный двоичный счетчик в качестве делителя частоты. Упрощенная структурная схема делителя. Ввод коэффициента деления. Составление электрической принципиальной схемы устройства.

    курсовая работа [1,2 M], добавлен 08.01.2013

  • Разработка математической модели цифрового фильтра нижних частот. Структурная и электрическая принципиальная схемы системы с обоснованием выбора элементов. Время выполнения программы работы цифрового фильтра. Оценка инструментальной погрешности системы.

    курсовая работа [3,3 M], добавлен 13.06.2016

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.