Изучение маршрута проектирования и проектирование сложного комбинационного устройства на ПЛИС

Изучение маршрута проектирования сложного комбинационного устройства на программируемой логической интегральной схеме и непосредственное его проектирование. Моделирование разработанных модулей в симуляторе ISim. Проверка работоспособности схемы.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 09.05.2020
Размер файла 6,6 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Федеральное агентство связи

Ордена Трудового Красного Знамени федеральное государственное бюджетное образовательное учреждение высшего образования

Московский Технический Университет Связи и Информатики

(МТУСИ)

Кафедра радиотехнических систем

КУРСОВОЙ ПРОЕКТ

по учебной дисциплине:

“Цифровые устройства и микропроцессоры (ЦУиМ)”

Изучение маршрута проектирования и проектирование сложного комбинационного устройства на ПЛИС

Выполнили

студент группы БРР1701

Егоров М.Э.

Проверила

старший преподаватель

Минаева О.Н.

Москва, 2020

Оглавление

1. Цели и задачи курсовой работы

2. Задания курсовой работы

3. Данные для выполнения курсовой работы

4. Расчёт и проектирование первой части задания курсовой работы

5. Расчёт и проектирование второй части задания курсовой работы

6. Расчёт и проектирования третьей части задания курсовой работы

7. Вычисление необходим ресурсов ПЛИС

Выводы по проделанной работе

Список литературы

1. Цели и задачи курсовой работы

К задачам курсовой работы относится углубление материала и развитие навыков по использованию теоритических знаний в решении практических задач.

Основной целью курсовой работы является изучение маршрута проектирования сложного комбинационного устройства на ПЛИС и непосредственное его проектирование.

2. Задания курсовой работы

1. Определить таблицу истинности заданной схемы.

2. По полученной таблице истинности составить СНДФ и СНКФ всех логических функций, описывающих работу схемы.

3. Используя метод Квайна, минимизировать логические функции и сформировать МНДФ и МНКФ логических функций схемы.

4. Используя метод карт Вейча или карт Карно, минимизировать логические функции и сформировать МНДФ и МНКФ логических функций схемы, проверим тем самым результат, полученный по методу Квайна.

5. Синтезировать логическое устройство в базисе И-НЕ, ИЛИ-НЕ.

6. Разработать модуль для ПЛИС Spartan 6, реализующий функционирование соответствующих схем МНДФ (или МНКФ, в зависимости от варианта).

7. Провести моделирование разработанных модулей в симуляторе ISim, получить временную диаграмму, убедиться в идентичности их работы в соответствие с найденной в п.1 таблице истинности.

8. Сформировать конфигурационные файлы ПЛИС для схемы МНДФ (или МНКФ, в зависимости от варианта) и получить оценки требуемых ресурсов ПЛИС.

9. Сконфигурировать ПЛИС Spartan 6 и проверить работоспособность схемы; входные воздействия должны подаваться с помощью кнопок расположенных на плате, реакция схемы на входные воздействия должна отображаться светодиодами, расположенными на плате.

комбинационный логический интегральный схема модуль

3. Данные для выполнения курсовой работы

Таблица 1. Задание курсовой работы со схемой согласно варианту.

№ варианта

ДД1

ДД2

ДД3

ДД4

ДД5

ДД6

ДД7

4

-

*

ИЛИ

ИЛИ-НЕ

И-НЕ

ИЛИ

ИЛИ-НЕ

37

-

*

И-НЕ

И

ИЛИ-НЕ

И

ИЛИ-НЕ

В таблице 1: ДД1, ДД2, и т.д. - инверторы, прочерк (-) - соответствует отсутствию инвертора, звёздочка (*) - соответствует наличию инвертора в схеме.

Таблица 2. Задание курсовой работы без схемы согласно варианту.

Состояние входа

Вариант 18

X1

X2

X3

X4

Y

0

0

0

0

0

0

1

0

0

0

1

1

2

0

0

1

0

0

3

0

0

1

1

1

4

0

1

0

0

0

5

0

1

0

1

0

6

0

1

1

0

0

7

0

1

1

1

0

8

1

0

0

0

1

9

1

0

0

1

1

10

1

0

1

0

0

11

1

0

1

1

1

12

1

1

0

0

1

13

1

1

0

1

0

14

1

1

1

0

0

15

1

1

1

1

0

Общая схема для выполнения вариантов со схемой:

Рисунок 1. Общая схема комбинационного устройства.

4. Расчёт и проектирование первой части задания курсовой работы

Выкладка из таблицы 1. Четвёртый вариант со схемой.

№ варианта

ДД1

ДД2

ДД3

ДД4

ДД5

ДД6

ДД7

4

-

*

ИЛИ

ИЛИ-НЕ

И-НЕ

ИЛИ

ИЛИ-НЕ

Рисунок 2. Заданная схема логического устройства по ГОСТу, согласно варианту №4 таблицы 1.

1. Описание схемы логического устройства.

На рисунке 2, согласно графическому обозначению логических элементов “milspec 806B”, имеются следующие элементы: [1]

· X1, X2, X3 - входы логического устройства;

· Y - выход логического устройства;

· (ДД2) - логический элемент “НЕ”;

· NAND2 ( ДД5) - логический элемент “И-НЕ”;

· NOR2 (ДД4 и ДД7) - логические элементы “ИЛИ-НЕ”;

· OR2 (ДД3, ДД6) - логические элементы “ИЛИ”.

2. Установление функциональной зависимости. Обозначение промежуточных переменных.

Z1 = - на выходе логического элемента ДД2;

Z2 = x1?Z1 - на выходе логического элемента ДД3;

Z3 = - на выходе логического элемента ДД4;

Z4 = - на выходе логического элемента ДД5;

Z5 = Z2?Z3 - на выходе логического элемента ДД6;

Y = - на выходе логического элемента ДД7.

3. Составление таблицы истинности и нахождение СНДФ, МНДФ.

Таблица 3. Таблица истинности логического устройства варианта №4.

Переменная

Логические сигналы (0 или 1)

X1

0

1

0

1

0

1

0

1

X2

0

0

1

1

0

0

1

1

X3

0

0

0

0

1

1

1

1

Z1= ¬x3

1

1

1

1

0

0

0

0

Z2=x1?Z1

1

1

1

1

0

1

0

1

Z3= ¬(x1?x2)

1

0

0

0

1

0

0

0

Z4=¬(x2?x3)

1

1

1

1

1

1

0

0

Z5= Z2?Z3

1

0

1

1

1

1

0

1

Y=¬(Z5?Z4)

0

0

0

0

0

0

1

0

Построим совершенную дизъюнктивную нормальную форму (СНДФ).

Найдём наборы (набор), на которых функция принимает истинное значение (Y=1):

Y = - СНДФ

Каждому члену СДНФ соответствует единичное значение функции на выходе логической схемы. В склейке в связи с одним набором истинного значения СНДФ не нуждается.

Не трудно заметить, что минимизированная совершенная дизъюнктивная нормальная форма будет равна СНДФ:

Y = - МНДФ

4. Построение структурной схемы логического устройства по полученной минимальной дизъюнктивной нормальной функции.

МНДФ:Y =

Рисунок 3. Структурная схема логического устройства по полученной МНДФ.

Структурная схема содержит всего 2-а элемента, вместо начальных шести.

5. Синтез и построение МНДФ структурной схемы логического устройства в базисе “И-НЕ”.

Y == = |x2|x3

Рисунок 4. Структурная схема логического устройства, полученная на основе МНДФ, в базисе “И-НЕ”.

6. Составление совершенной конъюнктивной нормальной формы (СНКФ).

Построим совершенную конъюнктивную нормальную форму (СНКФ).

По таблице № 3.Найдём наборы, на которых функция принимает ложное значение (Y=0):

Y= - СНКФ

Каждому члену СКНФ соответствует нулевое значение функции на выходе логической схемы.

7. Проведение склеивания и поглощения. Получение сокращённой формы СНКФ.

Сравниваем попарно все конъюнкции и применяем правило склеивания там, где это возможно. Из СНКФ склеиваются следующие пары членов:

Первый и второй: = =

Третий и четвёртый: = =

Пятый и шестой: = =

Четвёртый и седьмой: = =

Сокращённая форма СНКФ: Y=

Для перехода к минимальной конъюнктивной нормальной форме (МНКФ) по методу Квайна и проверки суждения, что СНКФ не содержит лишний член, строим импликантную таблицу.

Таблица 4.Импликантная таблица для перехода к МНКФ.

¬x1?¬x2?¬x3

x1?¬x2?¬x3

¬x1?x2?¬x3

x1?x2?¬x3

¬x1?¬x2?x3

x1?¬x2?x3

x1?x2?x3

¬x2?¬x3

*

*

x2?¬x3

*

*

¬x2?x3

*

*

x1?x2

*

*

Все эти импликанты составляют ядро, следовательно, не могут быть исключены. Произведём повторную склейку и поглощение.

Y= = - МНКФ

8. Проверка получения результата МНКФ по методу Квайна картами Карно.

Пример построения минтермов (булевых функций, принимающих истинное значение лишь при одной единственной комбинации своих аргументов) на карте Карно. [2]

Рисунок 5. Пример построения карты Карно с тремя переменными.

Для проверки полученного результата полученного по методу Квайна проведём минимизацию другим методом - с использованием карт Карно.

Y= - СНКФ

Таблица 5. Карта Карно для построения МНКФ

x1

x2x3

00

01

11

10

0

0

0

1

0

1

0

0

0

0

Выделим на карте Карно прямоугольные области из нулей наибольшей площади и выпишем соответствующие им конъюнкции.

Таблица 6. Первая область карты Карно для построения МНКФ

Область 1.

x1

x2x3

00

01

11

10

0

0

0

1

0

1

0

0

0

0

K1: сравниваем, , , => K1:

Таблица 7. Вторая область карты Карно для построения МНКФ

Область 2.

x1

x2x3

00

01

11

10

0

0

0

1

0

1

0

0

0

0

K2: сравниваем, ,, => K2: x2

Таблица 8. Третья область карты Карно для построения МНКФ

Область 3.

x1

x2x3

00

01

11

10

0

0

0

1

0

1

0

0

0

0

K3: сравниваем,, , => K3: x3

Объединим их с помощью операции “И” и получим минимизированную КНФ.

Y= - МНКФ.

Минимальная конъюнктивная нормальная форма (МНКФ), найденная с помощью карт Карно, равна МНКФ, найденной по методу Квайна. Следовательно, минимальные ДНФ, полученные различными способами, совпадают.

9. Построение структурной схемы логического устройства по полученной минимальной конъюнктивной нормальной функции.

МНКФ: Y=

Рисунок 6. Структурная схема логического устройства, полученная минимальной конъюнктивной нормальной функцией.

Структурная схема содержит всего 2 элемента, вместо первоначальных 6-и.

10. Синтез и построение МНКФ структурной схемы логического устройства в базисе “ИЛИ-НЕ”.

Для синтезирования логической схемы в базисе “ИЛИ-НЕ” используем формулы де Моргана.

Законы де Моргана - логические правила, связывающие пары логических операций при помощи логического отрицания. В краткой форме звучат так: Отрицание конъюнкции есть дизъюнкция отрицаний; Отрицание дизъюнкции есть конъюнкция отрицаний. [4]

Y= = 1v x2v x3

Построения структурной схемы логического устройства в базисе “ИЛИ-НЕ”.

Рисунок 7. Структурная схема логического устройства по полученной МНКФ в базисе “ИЛИ-НЕ”.

11. Разработка модуля для ПЛИС “Spartan 6”, реализующий функционирование логической схемы МНКФ в базисе “ИЛИ-НЕ”.

Сформируем конфигурационные файлы ПЛИС для схемы МНКФ (исходя из полученных результатов в пунктах выше) и получим оценки требуемых ресурсов ПЛИС.

МНДФ: Y =

Добавляем VHDL модуль и присваиваем входные и выходные его порта.

Рисунок 8. Входные и выходные порты модуля

Описываем работу цифрового устройства.

Рисунок 9. Код созданного VHDL модуля

Сохраняем файл, тем самым запускаем автоматическую проверку созданного кода модуля.

Рисунок 10. Консоль проверки созданного кода модуля.

Сохранив изменения в файле, запускаем проверку синтаксиса в окне процессов.

Рисунок 11. Завершение успешной проверки синтаксисов в окне процессов.

Рисунок 12. Добавление модуля на схему.

Получаем временную диаграмму в симуляторе.

Рисунок 13. Временная диаграмма разработанного модуля в симуляторе.

5. Расчёт и проектирование второй части задания курсовой работы

Выкладка из таблицы 1. Тридцать седьмой вариант со схемой

№ варианта

ДД1

ДД2

ДД3

ДД4

ДД5

ДД6

ДД7

37

-

*

И-НЕ

И

ИЛИ-НЕ

И

ИЛИ-НЕ

Рисунок 14. Заданная схема логического устройства, нарисованная по ГОСТу согласно варианту 37 таблицы 1.

1. Описание схемы логического устройства (рисунок 14.2.).

· X1, X2, X3 - входы логического устройства;

· Y - выход логического устройства;

· ¬ (ДД2) - логический элемент “НЕ”;

· NAND2 (ДД3) - логический элемент “И-НЕ”;

· AND2 (ДД4 и ДД6) - логические элементы “И ”;

· NOR2 (ДД5 и ДД7) - логический элемент “ИЛИ-НЕ”.

2. Установление функциональной зависимости. Обозначение промежуточных переменных.

Z1= - на выходе логического элемента ДД2;

Z2= - на выходе логического элемента ДД3;

Z3= x1?x2- на выходе логического элемента ДД4;

Z4= - на выходе логического элемента ДД5;

Z5= - на выходе логического элемента ДД6;

Y= - на выходе логического элемента ДД7.

3. Составление таблицы истинности и нахождение СНДФ.

Таблица 9. Таблица истинности логического устройства варианта №37.

Переменная

Логические сигналы (0 или 1)

X1

0

1

0

1

0

1

0

1

X2

0

0

1

1

0

0

1

1

X3

0

0

0

0

1

1

1

1

Z1=

1

1

1

1

0

0

0

0

Z2=

1

0

1

0

1

1

1

1

Z3= x1?x2

0

0

0

1

0

0

0

1

Z4=

1

1

0

0

0

0

0

0

Z5=Z2*Z3

0

0

0

0

0

0

0

1

Y=

0

0

1

1

1

1

1

0

Построим совершенную дизъюнктивную нормальную форму (СНДФ).

По таблице №9 найдём наборы, на которых функция принимает истинное значение (Y=1):

Y=

Каждому члену СНДФ соответствует единичное значение функции на выходе логической схемы.

4. Проведение склеивания и поглощения. Получение сокращённой формы СНДФ.

Сравниваем попарно все конъюнкции и применяем правило склеивания там, где это возможно. Из СНДФ склеиваются следующие пары членов:

Первый и второй:

Третий и четвёртый:

Первый и пятый:

Результат склеивания и поглощения: Y=

5. Получение МНДФ по методу Квайна.

Сокращённая форма совершенной дизъюнктивной нормальной формы (СНДФ) не содержит лишний член. Для перехода к минимальной дизъюнктивной нормальной формы (МНДФ) по методу Квайна и проверки суждения, что СНДФ не содержит лишний член строим импликантную таблицу.

Таблица 10.Импликантная таблица для перехода к МНДФ.

¬x1?x2?¬x3

x1?x2?¬x3

¬x1?¬x2?x3

x1?¬x2?x3

¬x1?x2?x3

x2?¬x3

*

*

¬x2?x3

*

*

¬x1?x2

*

*

Импликанты: x2?¬x3 (поглощает 1-ый и 2-ой члены СНДФ), ¬x2?x3 (поглощает 3-ий и 4-ый члены СНДФ), ¬x?x2 (поглощает 5-ый член СНДФ). Поэтому все эти импликанты составляют ядро, следовательно, не могут быть исключены.

Полученная минимальная дизъюнктивная нормальная форма (МНДФ) будет равна результату склеивания и поглощения СНДФ:

Y=

6. Проверка получения результата МНДФ по методу Квайна картами Карно.

Пример построения минтермов (булевых функций, принимающих истинное значение лишь при одной единственной комбинации своих аргументов) на карте Карно. [2]

Рисунок 15. Пример построения карты Карно с тремя переменными.

Для проверки полученного результата полученного по методу Квайна проведём минимизацию другим методом - с использованием карт Карно.

Таблица 11. Карта Карно для построения МНДФ.

x1

x2x3

00

01

11

10

0

0

1

1

1

1

0

1

0

1

Выделим на карте Карно прямоугольные области из единиц наибольшей площади, являющиеся степенями двойки, и выпишем соответствующие им конъюнкции.

Область 1.

11

10

1

1

Выкладка из таблицы 11. Первая область карты Карно для построения МНДФ.

K1: сравниваем и => K1:

Область 2.

10

1

1

Выкладка из таблицы 11. Вторая область карты Карно для построения МНДФ.

K2: сравниваем и => K2:

Область 3.

01

1

1

Выкладка из таблицы 11. Третья область карты Карно для построения МНДФ.

K3: сравниваем и => K3:

Минимальная дизъюнктивная нормальная форма (МНДФ), найденная с помощью карт Карно, равна МНДФ, найденной по методу Квайна, а также результату склеивания и поглощения СНДФ: Y= . Следовательно, минимальные ДНФ, полученные различными способами, совпадают.

7. Построение структурной схемы логического устройства по полученной минимальной дизъюнктивной нормальной функции.

МНДФ: Y=

Рисунок 16. Структурная схема логического устройства по полученной МНДФ.

Структурная схема содержит 8 элементов. Увеличение элементов связано с невозможностью сокращения по картам Карно или методу Квайна. Невозможность сокращения объясняется 5-ью наборами СНДФ.

8. Синтез и построение МНДФ структурной схемы логического устройства в базисе “И-НЕ”.

Y=

Y= (x2|x3)| (|x3)| (|x2)

Рисунок 17 . Структурная схема логического устройства, полученная на основе МНДФ, в базисе “И-НЕ”.

9. Составление совершенной конъюнктивной нормальной формы (СНКФ).

Построим совершенную конъюнктивную нормальную форму (СНКФ).

По таблице № 9 найдём наборы, на которых функция принимает ложное значение (Y=0):

Y= - СКНФ

Каждому члену СКНФ соответствует нулевое значение функции на выходе логической схемы.

10. Проведение склеивания и поглощения. Получение сокращённой формы СНКФ.

Сравниваем попарно все конъюнкции и применяем правило склеивания там, где это возможно. Из СНКФ склеиваются следующие пары членов:

Первый и второй

Третий член склеиванию не подлежит, оставляем его как есть.

Результат склеивания и поглощения: Y=

11. Получение МНКФ по методу Квайна.

Сокращённая форма совершенной конъюнктивной нормальной формы (СНКФ) не содержит лишний член. Для перехода к минимальной конъюктивной нормальной формы (МНКФ) по методу Квайна и проверки суждения, что СНКФ не содержит лишний член строим импликантную таблицу.

Таблица 12.Импликантная таблица для перехода к МНКФ.

¬x1?¬x2?¬x3

x1?¬x2?¬x3

x1?x2?x3

(¬x2?¬x3)

*

*

(x1?x2?x3)

*

Все эти импликанты составляют ядро, следовательно, не могут быть исключены.

Мы убедились, что полученная минимальная дизъюнктивная нормальная форма (МНДФ) будет равна результату склеивания и поглощения СНКФ: Y=

12. Проверка получения результата МНКФ по методу Квайна картами Карно.

Пример построения минтермов (булевых функций, принимающих истинное значение лишь при одной единственной комбинации своих аргументов) на карте Карно. [2]

Рисунок 18. Пример построения карты Карно с тремя переменными.

Для проверки полученного результата полученного по методу Квайна проведём минимизацию другим методом - с использованием карт Карно.

Y= - СКНФ

Таблица 13. Карта Карно для построения МНКФ

x1

x2x3

00

01

11

10

0

0

1

1

1

1

0

1

0

1

Выделим на карте Карно прямоугольные области из нулей наибольшей площади и выпишем соответствующие им конъюнкции.

Область 1.

00

0

0

Выкладка из таблицы 13. Первая область карты Карно для построения МНКФ.

K1: сравниваем и => K1:

Область 2.

Логические единицы, записанные в соседних клетках, обозначают, что соответствующие этим единицами конъюнкции (произведения) отличаются лишь одной переменной, которые дополняют друг - друга и их можно упростить. Если переменная не имеет своей пары, то в ответ записывается область этой ячейки. [3]

Во второй области одна ячейка, следовательно, результат

K2: (x1?x2?x3)

Минимальная конъюнктивная форма представляет собой конъюнкцию двух дизъюнкций, соответствующих двух областям:

Y= (

Минимальная конъюнктивная нормальная форма (МНКФ), найденная с помощью карт Карно, равна МНКФ, найденной по методу Квайна, а также результату склеивания и поглощения СНКФ: Y= . Следовательно, минимальные ДНФ, полученные различными способами, совпадают.

13. Построение структурной схемы логического устройства по полученной минимальной конъюнктивной нормальной функции.

МНКФ: Y=

Рисунок 19. Структурная схема логического устройства по полученной МНКФ.

Структурная схема содержит 5 элементов, вместо первоначальных 6-и.

14. Синтез МНКФ структурной схемы логического устройства в базисе “ИЛИ-НЕ”.

Для синтезирования логической схемы в базисе “ИЛИ-НЕ” используем формулы де Моргана.

Y=

Y= (v¬ ) v (x1vx2vx3)

15. Построения структурной схемы логического устройства в базисе “ИЛИ-НЕ”.

Рисунок 20. Структурная схема логического устройства по полученной МНКФ в базисе “ИЛИ-НЕ”.

16. Разработка модуля для ПЛИС “Spartan 6”, реализующий функционирование логической схемы МНКФ в базисе “ИЛИ-НЕ”.

Сформируем конфигурационные файлы ПЛИС для схемы МНКФ (исходя из полученных результатов в пунктах выше) и получим оценки требуемых ресурсов ПЛИС.

МНКФ:

Добавляем VHDL модуль и присваиваем входные и выходные его порта.

Рисунок 21. Входные и выходные порты модуля

Описываем работу цифрового устройства.

Рисунок 22. Код созданного VHDL модуля

Сохраняем файл, тем самым запускаем автоматическую проверку созданного кода модуля.

Рисунок 23. Консоль проверки созданного кода модуля.

Сохранив изменения в файле, запускаем проверку синтаксиса в окне процессов.

Рисунок 24. Завершение успешной проверки синтаксисов в окне процессов.

Добавляем модуль на схему.

Рисунок 25. Добавление модуля на схему.

Получаем временную диаграмму в симуляторе.

Рисунок 26. Временная диаграмма разработанного модуля в симуляторе.

6. Расчёт и проектирования третьей части задания курсовой работы

Таблица 2. Задание курсовой работы без схемы согласно варианту.

Состояние входа

Вариант 18

X1

X2

X3

X4

Y

0

0

0

0

0

0

1

0

0

0

1

1

2

0

0

1

0

0

3

0

0

1

1

1

4

0

1

0

0

0

5

0

1

0

1

0

6

0

1

1

0

0

7

0

1

1

1

0

8

1

0

0

0

1

9

1

0

0

1

1

10

1

0

1

0

0

11

1

0

1

1

1

12

1

1

0

0

1

13

1

1

0

1

0

14

1

1

1

0

0

15

1

1

1

1

0

1. Нахождение СНДФ.

По таблице № 2 найдём наборы, на которых функция принимает истинное значение (Y=1):

· K1=

· K2=

· K3=

· K4=

· K5=

· K6=

Y= - СНДФ

Каждому члену СНДФ соответствует единичное значение функции на элементе Y(логический выход) таблицы истинности.

2. Проведение склеивания и поглощения. Получение сокращённой формы СНДФ.

Сравниваем попарно все конъюнкции и применяем правило склеивания там, где это возможно. Из СНДФ склеиваются следующие пары членов:

· Первый и второй:

· Третий и шестой:

· Первый и четвёртый:

· Четвёртый и пятый:

Результат склеивания и поглощения:

- СНДФ

3. Получение МНДФ по методу Квайна.

Таблица 14. Импликантная таблица для перехода к МНДФ

¬x1?¬x2?x4

x1?¬x3?¬x4

¬x2?¬x3?x4

x1?¬x2?x4

¬x1?¬x2?¬x3?x4

*

*

¬x1?¬x2?x3?x4

*

x1?¬x2?¬x3?¬x4

*

*

x1?¬x2?¬x3?x4

*

x1?¬x2?x3?x4

*

x1?x2?¬x3?¬x4

*

Импликанты: ¬x1?¬x2?x4 (поглощает 1-ый и 2-ой члены СНДФ), x1?¬x3?¬x4 (поглощает 3-ий и 6-ый члены СНДФ), x1?¬x2?x4 (поглощает 4-ый и 5-ый члены СНДФ) составляют ядро, следовательно, импликанту ¬x2¬x3x4 - можно сократить.

Полученная минимальная дизъюнктивная нормальная форма (МНДФ):

Проведём склеивание и поглощение МНДФ:

Первая и третья пара членов

Итоговая МНДФ:

4. Проверка получения результата МНДФ по методу Квайна картами Карно.

Пример построения минтермов (булевых функций, принимающих истинное значение лишь при одной единственной комбинации своих аргументов) на карте Карно. [2]

Рисунок 27. Пример построения карты Карно с четырьмя переменными.

СНДФ:

Таблица 15 Карта Карно для построения МНДФ.

X1X2

X3X4

00

01

11

10

00

0

1

1

0

01

0

0

0

0

11

1

0

0

0

10

1

1

1

0

Выделим на карте Карно прямоугольные области из единиц наибольшей площади, являющиеся степенями двойки, и выпишем соответствующие им конъюнкции.

Область 1 (выделенная синим цветом):

K1: сравниваем , , и => K1:

Область 2 (выделенная зелёным цветом):

K2: сравниваем и => K2:

Объединим их с помощью операции ИЛИ и получим минимизированную ДНФ:

- МНДФ

Минимальная дизъюнктивная нормальная форма (МНДФ), найденная с помощью карт Карно, такая же, что и МНДФ, найденной по методу Квайна. Следовательно, минимальные ДНФ, полученные различными способами, совпадают.

5. Построение структурной схемы логического устройства по полученной минимальной дизъюнктивной нормальной функции.

МНДФ:

Рисунок 28. Структурная схема логического устройства по полученной МНДФ

6. Синтез и построение МНДФ структурной схемы логического устройства в базисе “И-НЕ”.

Y = = (|x4) | (x1||)

Построения структурной схемы логического устройства в базисе “И-НЕ”.

Рисунок 29. Структурная схема логического устройства, полученная на основе МНДФ, в базисе “И-НЕ”.

7. Составление совершенной конъюнктивной нормальной формы (СНКФ).

Построим совершенную конъюнктивную нормальную форму (СНКФ).

По таблице № 2.Найдём наборы, на которых функция принимает ложное значение (Y=0):

K1: x1?x2?x3?x4

K2:

K3:

K4:

K5:

K6

K7:

K8:

K9:

K10:

- СКНФ

Каждому члену СКНФ соответствует нулевое значение функции на выходе логической схемы.

8. Проведение склеивания и поглощения. Получение сокращённой формы СНКФ.

Сравниваем попарно все конъюнкции и применяем правило склеивания там, где это возможно. Из СНКФ склеиваются следующие пары членов:

· Первый и второй:

· Третий и четвёртый:

· Пятый и шестой:

· Девятый и десятый:

· Седьмой и второй:

· Восьмой и десятый:

СКНФ:

9. Получение МНКФ по методу Квайна.

Переход к минимальной конъюнктивной нормальной форме (МНКФ) по методу Квайна. строим импликантную таблицу.

Таблица 16.Импликантная таблица для перехода к МНКФ по методу Квайна.

x1?x2?x4

x1?¬x2?x3

x1?¬x2?¬x3

¬x1?¬x2?¬x3

x2?¬x3?x4

¬x1?¬x2?¬x4

x1?x2?x3?x4

*

x1?x2?¬x3?x4

*

*

x1?¬x2?x3?x4

*

x1?¬x2?x3?¬x4

*

x1?¬ x2?¬x3?x4

*

x1?¬x2?¬x3?¬x4

*

¬x1?x2?¬x3?x4

*

¬x1?¬x2?x3?¬x4

*

¬x1?¬x2?¬x3?x4

*

¬x1?¬x2?¬x3?¬x4

*

*

Мы убедились, что все шесть импликант составляют ядро, поэтому запишем МНКФ и попробуем провести склеивание и поглощение:

· Первого и пятого:

· Второго и четвёртого:

· Третьего и шестого:

МНКФ по методу Квайна:

10. Проверка получения результата МНКФ по методу Квайна картами Карно.

Пример построения минтермов (булевых функций, принимающих истинное значение лишь при одной единственной комбинации своих аргументов) на карте Карно. [2]

Рисунок 30. Пример построения карты Карно с четырьмя переменными.

Таблица 17. Первая и вторая область карты Карно для построения МНКФ.

X1X2

X3X4

00

01

11

10

00

0

1

1

0

01

0

0

0

0

11

1

0

0

0

10

1

1

1

0

Область 1 (помечена красным цветом):

K1: (x1?x4)

Область 2 (помечена синим цветом):

K2:

Таблица 18.Третья область карты Карно для построения МНКФ.

X1X2

X3X4

00

01

11

10

00

0

1

1

0

01

0

0

0

0

11

1

0

0

0

10

1

1

1

0

Область 3:

K3:

Объединим три области с помощью операции “И” и получим минимизированную КНФ:

Минимальная конъюнктивная нормальная форма (МНКФ), найденная с помощью карт Карно, равна МНКФ, найденной по методу Квайна. Следовательно, минимальные ДНФ, полученные различными способами, совпадают.

11. Построение структурной схемы логического устройства по полученной минимальной конъюнктивной нормальной функции.

МНКФ:

Рисунок 31. Структурная схема логического устройства по полученной МНКФ.

12. Синтез и построение МНКФ структурной схемы логического устройства в базисе “ИЛИ-НЕ”.

Для синтезирования логической схемы в базисе “ИЛИ-НЕ” используем формулы де Моргана.

Y= = (x1vx4)v( v)v(vx4)

Построения структурной схемы логического устройства в базисе “ИЛИ-НЕ”.

Рисунок 32. Структурная схема логического устройства по полученной МНКФ в базисе “ИЛИ-НЕ”.

13. Разработка модуля для ПЛИС “Spartan 6”, реализующий функционирование логической схемы МНКФ в базисе “ИЛИ-НЕ”.

Сформируем конфигурационные файлы ПЛИС для схемы МНКФ (исходя из полученных результатов в пунктах выше) и получим оценки требуемых ресурсов ПЛИС.

МНКФ:

Добавляем VHDL модуль и присваиваем входные и выходные его порта.

Рисунок 33. Входные и выходные порты модуля

Описываем работу цифрового устройства.

Рисунок 34. Код созданного VHDL модуля

Сохраняем файл, тем самым запускаем автоматическую проверку созданного кода модуля.

Рисунок . Консоль проверки созданного кода модуля.

Сохранив изменения в файле, запускаем проверку синтаксиса в окне процессов.

Рисунок 35. Завершение успешной проверки синтаксисов в окне процессов.

Рисунок 36. Добавление модуля на схему.

Получаем временную диаграмму в симуляторе.

Рисунок 37. Временная диаграмма разработанного модуля в симуляторе.

7. Вычисление необходим ресурсов ПЛИС.

1. Для современных цифровых микросхем потребление разбивается на две составляющие: статическое и динамическое. Специалисты, давно работающие с цифровыми микросхемами, могут помнить «инженерные правила» для микросхем КМОП.

Динамическое потребление мощности определяется по формуле:

,где n- число узлов; C - ёмкость; V - разность уровней напряжений; f -частота. [5]

2. ПЛИС семейства Spartan-6 LX предназначены, прежде всего, для реализации проектов устройств, выполняемых на базе стандартных логических ресурсов (конфигурируемых логических блоков CLB, блочной памяти Block RAM). В состав этого семейства входят восемь типов кристаллов, содержащих от 3840 до 147 443 логических ячеек Logic Cells. Информация об основных функциональных возможностях ПЛИС семейства Spartan-6 LX представлена в таблице 1, которая содержит данные об объеме доступных ресурсов различного типа. [6]

Таблица 19. Параметры ПЛИС семейства Spartan-6 LX, кристалла XC65LX45

Тип ресурсов ПЛИС

Кристалл типа XC65LX45

Логические ресурсы

Количество секций Slices

6822

Общее число триггеров CLB

54 576

Число логических ячеек Logic Cells

43 661

Ресурсы памяти

Объём распределённой памяти (1К = 1024 бит)

401К

Количество модулей блочной памяти Block Ram ёмкостью 18 кбит

116

Объём блочной памяти (1К = 1024 бит) Block Ram

2088К

Модули синхронизации

Количество блоков управления синхронизацией Clock Management Tiles (CMT)

4

Число цифровых модулей управления синхронизацией Digital Clock Manager (DCM)

8

Число аналоговых модулей фазовой автоподстройки частоты Phase- Locked- Loop (PLL)

4

Встроенные аппаратные модули

Число аппаратных секций DSP48A1

58

Число аппаратных контролеров интерфейса памяти Memory Controller Blocks (MCB)

2

Ресурсы

входа / выхода

Количество бланков ввода / вывода

4

Максимальное число пользовательских выводов

358

Максимальное число дифференциальных пар выводов

179

Варианты быстродействия ПЛИС

Варианты быстродействия для коммерческого использования

-2, -3

Варианты быстродействия для промышленного использования

-L1, -2

Конфигурационная память

Объём конфигурационной памяти, Мбит

11.8

Таблица 20. Необходимые ресурсы ПЛИС для разработанных схем согласна вариантам.

...

Тип ресурсов ПЛИС

Схема 1

(4 вариант)

Схема 2

(37 вариант)

Схем 3

(18 вариант БС)

Логические ресурсы

Количество секций Slices

6822

6822

6822

Общее число триггеров CLB

6302

12 742

14 782

Число логических ячеек Logic Cells

1 347

15 205

18723

Ресурсы памяти

Объём распределённой памяти (1К = 1024 бит)

27К

51К

68К


Подобные документы

  • Анализ комбинационной схемы, минимизация логической схемы и синтез комбинационного устройства в заданных базисах логических элементов И-НЕ, ИЛИ-НЕ. Разработка и применение модуля для ПЛИС Spartan6, реализующего функционирование соответствующих схем.

    курсовая работа [1,5 M], добавлен 12.02.2022

  • Канонические формы представления логической функций. Сущность методов минимизации Квайна, Квайна-Мак-Класки и карт Вейча, получение дизъюнктивной и конъюнктивной форм. Модели цифрового комбинационного устройства с помощью программы Electronics Workbench.

    курсовая работа [416,4 K], добавлен 28.11.2009

  • Разработка топологии базисных элементов и цифрового комбинационного устройства в целом в программе Microwind. Моделирование базисных логических элементов и функциональная схема демультиплексора. Схемотехническое проектирование цифрового устройства.

    курсовая работа [1,7 M], добавлен 27.02.2012

  • Предпосылки к развитию ПЛИС. Сравнительный анализ ПЛИС, СБИС и микроконтроллеров. Обзор аналогов: компараторы LM311 и LM339, на операционных усилителях, Р300Х, сравнительные устройства. Создание схемы устройства. Сравнение мировых производителей ПЛИС.

    курсовая работа [2,0 M], добавлен 11.07.2011

  • Синтез комбинационных схем. Построение логической схемы комбинационного типа с заданным функциональным назначением в среде MAX+Plus II, моделирование ее работы с помощью эмулятора работы логических схем. Минимизация логических функций методом Квайна.

    лабораторная работа [341,9 K], добавлен 23.11.2014

  • Методика составления и минимизации логических функций. Синтез комбинационного устройства на логических элементах и мультиплексоре. Логическая функция в виде СДНФ, преобразование функции в минимальный базис ИЛИ-НЕ. Проектирование устройства с памятью.

    курсовая работа [964,1 K], добавлен 27.09.2012

  • Проектирование цифрового автомата, формирующего четырехразрядный код на заданном числе тактов. Общая схема синтеза пересчетного устройства, векторная диаграмма работы. Разработка входного комбинационного устройства. Микросхема кодопреобразоателя.

    курсовая работа [2,2 M], добавлен 05.12.2012

  • Проектирование и принципы функционирования цифровых устройств комбинационного и последовательностного типа. Изучение структурной организации, приемов программирования на языке ассемблера и системы команд однокристального микроконтроллера К1816ВЕ48.

    методичка [272,2 K], добавлен 20.01.2011

  • Создание специального устройства для информирования водителя о преградах и обзора территории. Значение импульсной акустической локации. Проектирование сложного электронного устройства. Структурная схема устройства идентификации. Разработка печатной платы.

    дипломная работа [600,8 K], добавлен 17.11.2010

  • Знакомство с основными этапами разработки устройства управления, вырабатывающего заданную последовательность выходных сигналов. Общая характеристика особенностей проектирования устройства управления и моделирование его работы средствами MultiSim.

    контрольная работа [1,7 M], добавлен 13.07.2013

  • Выбор методов проектирования устройства обработки и передачи информации. Разработка алгоритма операций для обработки информации, структурной схемы устройства. Временная диаграмма управляющих сигналов. Элементная база для разработки принципиальной схемы.

    курсовая работа [1,8 M], добавлен 16.08.2012

  • Проектирование устройства, выполняющего функцию восьмиразрядного синхронного реверсивного сдвигающего регистра и синхронной реверсивной пересчетной схемы. Проектирование и расчет триггерного устройства. Синтез структуры проектируемого устройства.

    контрольная работа [259,1 K], добавлен 23.10.2010

  • Проектирование быстродействующего обрабатывающего устройства ЭВМ. Расчет основных и произвольных компоновочных параметров логической схемы устройств. Расчет энергетических характеристик, выбор системы охлаждения. Требования к элементам конструкций.

    курсовая работа [1,5 M], добавлен 13.06.2012

  • Основные понятия оптимального проектирования. Этапы решения задачи проектирования радиоэлектронного устройства с оптимальными характеристиками с использованием методов параметрической оптимизации. Многокритериальная оптимизация в задачах с ограничениями.

    реферат [89,7 K], добавлен 04.03.2009

  • Изучение устройства автомата уличного освещения и его технических параметров. Разработка структурной схемы выключателя, описание принципиальной схемы устройства. Обзор методов настройки и регулировки устройства с целью его максимальной работоспособности.

    курсовая работа [752,7 K], добавлен 28.01.2021

  • Синтез цифрового устройства управления в базисах мультиплексоров, логических элементов Шеффера и Пирса. Схемотехническое моделирование синтезированных схем. Оценка работоспособности полученных моделей с индикацией заданных значений логической функции.

    курсовая работа [382,8 K], добавлен 29.05.2013

  • Описание функциональной схемы цифрового устройства для реализации микроопераций. Выбор элементной базы для построения принципиальной электрической схемы цифрового устройства. Разработка и описание алгоритма умножения, сложения, логической операции.

    курсовая работа [684,0 K], добавлен 28.05.2013

  • Технология сквозного проектирования. Разработка принципиальной электронной схемы устройства. Обоснование выбора цифровых электронных компонентов. Трёхмерное моделирование: разработка модели корпуса, 3D-печать. Разработка программы микроконтроллера.

    дипломная работа [1,2 M], добавлен 22.08.2017

  • Способы построения процессорных устройств со схемной и проектируемой логикой. Факторы, влияющие на работоспособность цифровых приборов и способы исключения сбоев в их работе. Основные функции управления триггерами и функций выходов комбинационного узла.

    курсовая работа [474,9 K], добавлен 17.08.2013

  • Схемотехнические принципы проектирования усилителя электрических сигналов. Обоснование его структурной схемы. Выбор типов и номиналов элементов устройства. Обоснование схемы инверсного и реостатного каскадов. Проверка расчётов по коэффициенту усиления.

    курсовая работа [1,3 M], добавлен 07.01.2015

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.