Методика проектирования ПЛИС в САПР Active-HDL

Общая характеристика программируемой логики. Изучение особенностей языка описания цифровых устройств VHDL. Разработка методики деятельности ПЛИС в системе автоматизированного проектирования Active-HDL. Обзор и принципы работы основных редакторов.

Рубрика Программирование, компьютеры и кибернетика
Вид дипломная работа
Язык русский
Дата добавления 14.03.2015
Размер файла 2,8 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

3.3.3.1.3 Workspace/Design Explorer (Проводник рабочего пространства/Проекта)

Окно Workspace/Design Explorer является средством для эффективного управления проектами, так, чтобы не беспокоится о физическом расположении файлов проекта на компьютере. Есть функции, которые позволяют создавать и удалять папки, создавать ярлыки существующих проектов, создавать новые проекты и копировать любые проекты в новую целевую папку.

Workspace/Design Explorer вызвается, выбором опции Open Workspace из меню File. По умолчанию все демонстрационные проекты сохранены в папке /My_Designs/Samples_61/ folder, создаваемой во время установки.

Рис. 3.12 - Окно Workspace/Design Explorer

Каждый проект/рабочее пространство представлено в окне Workspace/Design Explorer ярлыком. У проекта/рабочего пространства может быть несколько ярлыков. Проводник Workspace/Design Explorer позволяет группировать ярлыки в папки. Отметим, что эти папки не имеют никакого отношения к папкам файла, в которых сохранены проекты/рабочее пространство. Окно Workspace/Design Explorer состоит из двух панелей.

Левая панель - иерархическая структура папок ярлыка. Правая панель показывает содержание в настоящий момент выбранной папки. Строка состояния выводит на экран имя файла и путь к файлу описания проекта/рабочего пространства, выбранного в настоящий момент.

3.3.3.1.4 Design Menu

Меню The Design Menu проекта позволяет выполнять те же самые операции как контекстное меню. Но помимо этого, есть уникальные команды, предусматривающие создание новых библиотек и настройку проекта. Возможно также добавить к открытым, в настоящий момент, документам другие, из папки design project.

Рис. 3.13 - Меню Проекта

В окне Design Settings можно установить дополнительные опции. Окно разделено на следующие вкладки:

General (Общий) - выбор верхнего уровня разрабатывают модуль для моделирования и конфигурации HDL блока/диаграммы состояний

VHDL/Verilog - установление рабочих параметров для компиляторов VHDL и Verilog;

SDF - выводит на экран файлы со спецификациями синхронизации и устанавливает целевую область проекта

Simulation (Моделирование) - предоставляет определенные возможности для моделирования VHDL и Verilog, отключая VITAL незначительные сбои и предупреждения от средства чтения файлов SDF, а также предоставляет настройки управления памятью.

Trace/Debug (Трассировка/Отладка) - обеспечивает настройки для Режима отладки и Моделирования Сообщения;

Verilog PLI - обрабатывает библиотеки DLL для Приложений PLI;

EDIF - обрабатывает библиотеки, необходимые для компиляции и моделирования в текущем проекте;

Code Coverage/Profiler (Кодовое пространство/Профилировщик кода) - позволяет определять опции Code Coverage и Profiler;

Рис. 3.14 - Окно Design Settings

Другой полезной опцией в подменю Design является опция Backup Revision (Резервная Версия). Часто необходимо сделать копию проекта в его текущем состоянии, особенно когда необходимо сделать в нем существенные изменения. Поэтому рекомендуется создавать файлы резервных копий для защиты содержимого проекта.

Сохранение резервных версий на различных этапах процесса разработки позволяет прослеживать изменения в проекте, разрабатывать несколько версий проекта, и т.д. Active- HDL позволяет Вам сохранять неограниченное число версий для каждого проекта. Каждая версия идентифицирована числом, автоматически присвоенным ей во время создания версии. Вы можете также добавить дополнительную метку и комментарии к каждому проекту.

Невозможно совмещать версии, создаваемые в Active- HDL для различных проектов. Когда необходимо восстановить одну из предыдущих версий, нужно использовать команду Restore Revision, которая автоматически заменяет текущий проект выбранной версией. Отметим главное, новая запущенная версия, удалит текущий проект. Поэтому рекомендуется, сохранять текущий проект, перед использованием команды Restore Revision.

Рис 3.15 - Окно Backup Revision

Опция Create Library из меню Design вызывает новое окно мастера New Library Wizard.

Мастер упрощает процесс создания библиотеки. Можно вставить файлы с кодом VHDL или диаграммами состояний к новой библиотеке или создать новую. Мастер помогает определить местоположение требуемых файлов и помогает разработчику на протяжении всего процесса проектирования.

3.3.3.1.5 Менеджер библиотек (Library Manager)

Менеджер библиотек разработан для того, чтобы позволить управлять библиотеками Active-HDL. Возможны следующие операции на библиотеках и их содержимом:

· Создание новых библиотек и настройка их рабочего режима.

· Присоединение, отсоединение и удаление библиотек.

· Редактирование логических имен библиотек.

· Уплотнение библиотек.

· Просмотр содержания библиотек.

· Просмотр исходных файлов определенных модулей библиотеки.

· Удаление определенных модулей библиотеки.

Общий вид окна Library Manager: представлен ниже

Рис. 3.16 - Окно Library Manager

Окно менеджера библиотек содержит две панели. Левая панель содержит список в настоящий момент присоединенных библиотек и их параметров. У этой панели есть четыре столбца:

· Библиотека - выводит на экран логическое имя библиотеки;

· Режим - выводит на экран рабочий режим библиотеки. Есть два режима:

· Чтение-запись (R/W) и Только-Чтение (R/O));

· Комментарий - выводит на экран дополнительный комментарий, обеспечивающий краткое описание содержания библиотеки;

· Каталог - выводит на экран индексный файл библиотеки с полным путем к нему;

Стандартные библиотеки присоединяются к списку во время установки. Когда создается новая библиотека, она также автоматически присоединяется к списку. Рабочие значения (заданные по умолчанию) библиотеки окажутся в списке в тот момент, когда разработчик откроет соответствующие проекты.

Если файл был выбран в левой части окна, то он будет автоматически выделен и в правой панели. Правая панель, кроме этого, разделена на две части горизонтальной линией. Поэтому если выбрать пакет, то список объектов, определенных в нем, будет выведен на нижний экран. Правая панель содержит следующие столбцы:

§ Имя модуля - выводит на экран основные модули библиотеки, содержавшиеся в выбранной библиотеке. Напомним, что Библиотека была выбрана в левой панели окна;

§ Вторичное Имя Модуля - выводит на экран вторичные модули библиотеки, содержавшиеся в выбранной библиотеке. Единственные основные модули, у которых могут быть вторичные модули, являются объектами и пакетами. Для остающихся типов столбец повторяет имя, выведенное на экран в столбце Unit Name. Это логически оправдано, тем что, когда на такие модули ссылается код VHDL в смешанных проектах, у них, как предполагается, есть виртуальные вторичные модули с тем же самым именем;

§ Исходный Тип - выводит на экран тип исходного документа, содержащего описание определенного модуля библиотеки. Если основной модуль - объект VHDL, этот столбец выводит на экран тип исходного файла, описывающегоопределенную архитектуру, не объект непосредственно. В случае если это не модули VHDL, информация в столбце применяется непосредственно к основному модулю библиотеки. Доступные исходные типы: Исходный код (если модуль описан непосредственно в исходном коде VHDL или Verilog), Netlist (если модуль описан непосредственно EDIF netlist);

§ Выходной язык - указывает на язык исходного кода, от которого был успешно скомпилирован модуль библиотеки. Если исходный тип - или Блок-схема или Диаграмма состояний, используют язык, выведенный на экран здесь, то он является языком исходного кода, который был сгенерирован из файла схемы. Доступные выходные языки - VHDL, Verilog, и EDIF;

§ Символ - указывает, есть ли у основного модуля библиотеки символ блок-схемы в библиотеке. Эта информация применяется только к объектам VHDL, модулям Verilog, и ячейкам EDIF;

§ Данные моделирования - указывают, есть ли у модуля библиотеки данные моделирования или, нет. Библиотеки могут включать модули библиотеки, у которых нет никаких данных моделирования.. Если основной модуль библиотеки - объект VHDL, информация в столбце применяется к своей архитектуре, а не к объекту непосредственно;

Стандартные и определяемые пользователем библиотеки обозначены универсальным значком. Специфичные пользовательские библиотеки обозначены их собственными уникальными значками.

3.3.3.1.6 Консоль (Console)

Консоль является интерактивным экраном ввода - вывода, предназначенным для введения текстовых команд пакета Active-HDL и отображения на экран сообщений, сгенерированных инструментами Active-HDL. Консоль включает несколько вкладок, чтобы разделять сообщения, сгенерированными различными инструментами. Вкладка Console выводит на экран сообщения, сгенерированными различными инструментами. Эта вкладка также служит вводом для команд Active-HDL, которые позволяют выполнять различные операции в приложении, не используя меню GUI и другие группы (панели) инструментов.

Рис 3.17 - Основное окно консоли

Команды консоли

Большинство реализуемых команд Active-HDL вызвается с различными параметрами, такими как имена файлов, имена сигналов, номера строки, и т.д. После ввода команды help <macro_command> в окне консоли автоматически выйдет окно справки Active-HDL со списком всех доступных команд.

3.3.3.1.7 Файлы с макрокомандами

Active-HDL позволяет создавать макро-файлы, которые содержат последовательности макрокоманд. Выполняя такой файл в консоли возможно немедленно выполнить моделирование, если для этого ранее были подготовлены все необходимые требования, такие как описание модели, тестовые векторы, и т.д. В качестве примера давайте просмотрим макро-файл, используемый для верификации проекта Счетчика, разработанного в среде Active-HDL. Верхняя часть файла восстанавливает проект в тихом режиме, загружает файлы описания счетчика COUNTER8.VHD и испытательного стенда TESTBENCH.VHD, и выбирает TESTBENCH_ARCH как главную архитектуру.

Более низкая часть файла инициализирует средство моделирования, добавляет сигналы в менеджер Waveform Viewer и выполняет моделирование. Как можно видеть, работа макро-файла довольно легко прослеживается, если у разработчика имеются знания соответствия макрокоманд и их функций.

Пример

Макро-файл FUNCTIONAL.DO , включаемый в проект счетчика:

# rebuild whole project

savealltabs

quiet on

acom counter8.vhd

acom testbench.vhd

asim testbench TESTBENCH_ARCH

# initialize simulation

wave

wave CLK

wave RESET

wave CE

wave LOAD

wave DIR

wave DIN

wave COUNT

run -all

endsim

quiet off

Функция выполнения программного обеспечения

Консоль позволяет выполнять внешние файлы, такие как системные команды, программные инструменты, и т.д. Возможно даже выполнить размещение и выбрать инструменты для разрабатываемого проекта, не вызывая GUI соответствующего программного обеспечения. Это - хороший способ разработать проект, потому что он экономит время. Однако этот метод требует мастерства в использовании программных инструментов, и команд, а поэтому у разработчика должно быть всестороннее знание программного обеспечения, которое Вы используете. Таким образом, этот способ разработки вряд ли подойдет начинающим разработчикам.

3.3.3.2 Группа инструментов Design Entry Tools

После выполнения первоначальных действий в среде Active-HDL, группа инструментов Control Tools подключает для дальнейшего проектирования группу инструментов Design Entry Tools.

На закладке Design Flow Manager изображен доступный для заданных установок маршрут проектирования. Он ограничивает наши действия тремя способами описания проекта (HDE, FSM, BDE) и последующим функциональным моделированием (functional simulation). Правда, перед моделированием потребуется еще установка некоторых опций (options). Как видно из рис. 3.18 эти три способа описания проекта, управляются соответственно тремя редакторами.

Рис. 3.18 - Группа инструментов Design Entry Tools

1. HDE (Hardware Description language Editor), текстовый редактор, предназначен для создания проекта в текстовом формате на языке VHDL, Verilog или SystemC;

2. BDE (Block Diagram Editor), схемный редактор, предназначен для непосредственного рисования схем;

3. FSM (Finite State Machine), редактор конечных автоматов, предназначен для описания проекта в виде диаграммы состояний конечного автомата.

Вышеперечисленные редакторы соответственно и образуют группу инструментов Design Entry Tools. Как видно из рис. 3.18 разработчик для создания поведенческой модели может выбирать из нескольких редакторов. Однако необходимым условием перед моделированием является компиляция. Компиляция производиться для VHDL кода описанной модели. Поэтому если был выбран редактор BDE , перед компиляцией необходимо преобразовать схему в автоматически генерируемый код VHDL, командой Generate HDL code. Доступна и обратная операция (т.е. создание схемы по HDL коду) выполняемая командой Code2Graphics. Если компиляция выполнена успешно, то можно перейти к моделированию, в противном случае необходимо провести отладку с помощью группы инструментов Watch/Debugging Tools.

Рассмотрим более подробно редакторы HDE, BDE и FSM.

3.3.3.2.1 HDE (Редактор HDL)

Редактор HDL является инструментом для того, чтобы создавать коды HDL, испытательные стенды, макросы, сценарии, и другие текстовые файлы. Пиктограмма HDE запуска текстового редактора HDL Editor в окне Design Flow Manager стоит первой слева. Значит, сначала нужно щелкнуть по этой кнопке, вызвав основной инструмент создания проекта.

Заметим, что в ранних версиях пакета никаких других средств описания проекта пользователю и не предлагалось. Active-HDL предлагает несколько автоматизированных инструментов для создания кода VHDL/Verilog, таких как New Source File Wizard.

Рис. 3.19 - Окно редактора HDE

New Source File Wizard

Active- HDL позволяет создавать файлы VHDL и Verilog, выбором команды Add New File из окна Design Browser. Окно, которое появляется, дает список команд:

· Block Diagram (Блок-схема)

· State Diagram (Диаграмма состояний)

· VHDL Source Code (Исходный код VHDL)

· Verilog Source Code (Исходный код Verilog)

· Add Existing File (Добавьте Существующий Файл)

Рис. 3.20 - Окно добавления нового файла

Доступен список следующих мастеров:

· Block Diagram Wizard (Мастер Блок-схемы)

· State Diagram Wizard (Мастер Диаграммы состояний)

· VHDL Source Code Wizard (Мастер Исходного кода VHDL)

· Verilog Source Code Wizard (Мастер Исходного кода Verilog)

Рис. 3.21 - Окно добавления нового файла-вкладка Wizards

Мастер облегчает запись проекта, разбивая процесс на несколько шагов. Каждый шаг запрашивает некоторую определенную информацию о файле, который создается.

1. Должен ли создаваемый код быть добавлен к текущему проекту, или нужно создать новый проект;

2. Выбрать язык, который будет сгенерирован от блок-схемы или диаграммы состояний (только для BDE или файлов FSM);

3. Ввести имя файла, объект и архитектуру или имя модуля. Поля имен файлов обязательны;

4. Предоставить информацию о портах модуля.

Рис. 3.22 - Окно мастера New Source File Wizard

Инструменты редактора HDL Editor

На рис. 3.24 показаны основные кнопки панели инструментов HDE Tools (мы переместили ее на рабочую область редактора). В скобках рядом с иностранным названием пиктограммы указаны горячие клавиши для быстрого вызова соответствующей команды.

Рис. 3.23 - Команда Undock Window

Любой программист при написании программы заботится о том, чтобы созданный им продукт был удобен для восприятия.

И неважно, кто его будет читать -- сам создатель или другой пользователь.

Удобочитаемость кода заметно повышается, если он должным образом структурирован, форматирован и документирован (снабжен комментарием).

Под структурированием в пакете Active-HDL понимается процесс разбиения текста программы на блоки (группы, group), содержащие синтаксически законченные конструкции языка описания аппаратуры, и их цветовой формы. Редактор HDL Editor поддерживает иерархию описаний, когда один блок может быть вложен в другой.

Рис. 3.24 - Назначение кнопок панели инструментов HDE Tools

Перед структурированием полезно выполнить пробную компиляцию, чтобы убедиться, что написанный отрезок кода не содержит синтаксических ошибок. Есть также возможность автоматически структурировать весь документ, нажав на кнопку Generate Structure..

Прежде всего, обратим внимание на две вещи: раскраску кода и разбиение его на группы (рис. 3.25).

Рис. 3.25 - Структурирование VHDL-кода разбивает его на группы (блоки) и раскрашивает их разными цветами и оттенками

Например, блок entity выделен сиреневым цветом и раскрыт на верхнем уровне, тогда как список портов port имеет более темный оттенок и, наоборот, свернут до одной (первой) строки. Пустой блок architecture тоже раскрыт и имеет светло-зеленую окраску. Заметим, что цвет группы и ее первоначальное состояние (раскрыта или свернута в строку) можно настраивать по своему желанию (команда Preferences из меню Tools).

Чтобы убрать все следы структурирования кода (разбиение на группы и их раскраску), достаточно нажать на кнопку Remove groups. В принципе можно отказаться от автоматического структурирования и выполнить эту процедуру вручную, манипулируя кнопками Create group ЕН и Remove groups EH. Именно таким способом создана группа - комментарий , показанная на рис. 3.25 (вверху). Здесь появляются дополнительные возможности: можно создавать группы из любого числа строк и даже нарушать границы синтаксически завершенных конструкций языка описания аппаратуры. Более того, ручное структурирование возможно и поверх автоматически структурированного текста.

Важный момент структурирование текста программы не включает в себя операции форматирования, то есть перемещения строк друг относительно друга, с целью выделить основные элементы синтаксических конструкций, отразить иерархию описания и повысить, таким образом, удобочитаемость кода.

Рис. 3.26 - Результат автоматического форматирования фрагмента VHDL-кода

Для этого в пакете Active-HDL существует другая процедура, называемая автоматическим форматированием текста. Она выполняется в редакторе HDL Editor нажатием на кнопку Autoformat text EH. Результат выполнения этой процедуры показан на рис. 3.26 -б. Возможно форматировать текст вручную, для этого предназначены кнопки П (Indent) и (Outdent), перемещающие выделенный фрагмент кода на одну позицию табуляции вправо или влево.

Рис. 3.27- Создание комментарий на фрагменте VHDL-кода

При отладке программных VHDL-моделей возникает необходимость в создании комментариев. Поэтому в редакторе HDL Editor имеется специальная кнопка Comment, позволяющая автоматизировать названную процедуру. Необходимо выделить фрагмент текста, который требуется закомментировать, и нажмите кнопку Comment. В начале каждой строки выделенного текста редактор автоматически поставит символы комментария (рис.3.27 -а). Чтобы снять комментарий, необходимо выделить желаемый фрагмент закомментированного кода и нажмите кнопку противоположного действия Uncomment ЕН (рис. 3.27 -б).

Стоит обратить внимание еще на одну кнопку инструментальной панели HDE Tools (рис. 3.24). Она называется Column selection ЕН и позволяет включить (а при повторном нажатии -- выключить) режим выделения столбца. На рис. 3.28 показано, как с помощью этого инструмента созданы четыре строки комментария.

Рис. 3.28 - Выделение столбца с именами портов

Нажмем на кнопку Column selection ЕН, выделим столбец с именами входных и выходных портов D0, D1, A, Y (рис. 12) и скопируем его в буфер обмена. Переместим указатель мыши вправо и вставим копируемый столбец. Нажмем на кнопку Comment , превращая введенный столбец в комментарий. Этот способ намного оперативнее, описанного выше.

Language Assistant (Языковой помощник)

Языковой помощник это помощник в ускорении разработки исходного кода HDL. Он предоставляет шаблоны, которые являются готовыми сегментами кода. Есть несколько групп шаблонов:

Code Auto Complete -шаблоны ключевых слов HDL, или целые конструкции, вставляемые после ввода первых символов кода и нажатия клавиши Пробел.

Language templates - шаблон с конструкциями языка Бэйсик.

Simulation templates - шаблон с демонстрационными процессами, полезными при создании Испытательных стендов, таких как синхронизация сигналов и т.д.

Synthesis templates - шаблон с ориентированными на синтез основными функциональными блоками, такими как мультиплексоры, триггеры, счетчики, и т.д.

Tutorial - Учебное руководство с четырьмя шаблонами, которые включают счетчик, декодер, испытательный стенд, и демонстрационную высокоуровневую спецификацию.

User templates - место в файловой структуре, которое хранит сохраненные пользователем шаблоны.

Рис 3.29 - Окно редактора Language Assistant

Возможно вставить выбранный шаблон в документ, отредактированный в окне Active-HDL, перетаскивая его имя в окно редактора HDE. Естественно, что вставленный шаблон (представляющий собой фрагмент кода) может потребовать редактирования для адаптации его к потребностям проекта.

Для задействования другого метода вставки шаблонов, пользователь должен щелкнуть правой кнопкой по шаблонной метке и выбрать команду Use из контекстного меню. Шаблон будет вставлен в редактор HDЕ на позицию курсора поэтому, необходимо заранее помещать курсор в позицию вставки. Также можно щелкнуть по значку , расположенному на панели инструментов Language Assistant, чтобы вставить шаблон.

Рис. 3.30 -Окно вставки шаблона

Левая панель мастера Language Assistant представляет собой иерархический список шаблонов.

Список выведен на экран в древовидной форме. Шаблоны могут быть сгруппированы в папки, которые добавляются или удаляются пользователями. Контекстное меню доступно в левой панели. Опции его команд копируют функции кнопок. Правая панель позволяет предварительно просматривать содержание, в настоящий момент выбранного, шаблона. Возможно, также, присвоить атрибут "только для чтения" шаблону, чтобы предотвратить случайное его изменение.

Color Preferences (Цветовые предпочтения).

Редактор HDE позволяет отличать ключевые слова, комментарии и константы от остальной части текста, выводя на экран каждый элемент в различном цвете. Цвет, выбранный для каждого определения ключевого слова, так же как и тип шрифта, может быть определен в окне Preferences | Environment | Appearance.

Этот инструмент крайне полезен, ведь за символом, на который наносят цветную маркировку, намного легче следить. Эта функция особенно полезна для тех пользователей, которые еще не достаточно хорошо ориентируются в языке HDL. Редактор HDE проверяет синтаксис кода в интерактивном режиме, так каждое ключевое слово изменяет свой цвет когда, оно введено правильно.

Рис. 3.31-Окно Preferences

Синтаксис файла HDE проверяется каждый раз, когда выполняется команда Compile.

Редактор HDE выведет на экран уведомление, если в файле произошла ошибка. Все сообщения об ошибках регистрируются в файле и немедленно выводятся на экран в окне компиляции.

Функции редактора HDE по форматированию избыточных символов кода улучшают вид кода. Значки для этих действий расположены в левой части окна:

- добавляет отступ в выбранный текст,

-удаляет отступ из выбранного текста,

-преобразовывает выбранный текст в комментарии HDE,

-снимает комментарий с выбранного текста,

-генерирует группу для выбранного текста, которая в результате может быть расширена или свернута для того, чтобы улучшить вид кода. Таким образом, создаваемая структура выведена на экран с цветовым фоном,

-удаляет конструкцию из выбранного текста,

- автоматически генерирует конструкцию для всего текста. Такие элементы кода как объявление портов объекта VHDL, или конструкции типа IF .. THEN будут автоматически свернуты. Определенные конструкции будут выведены на экран с различными цветами,

- автоматически форматирует выбранный код относительно добавления отступа,

- добавляет ссылку (в форме комментария) чтобы позволить компилировать файл, расположенный на диске или в Интернете. Добавляя ссылку к интернет-странице, содержащей подробную спецификацию модели, появиться прямой доступ к запрошенной информации. (Например информация о человеке, использующем проект),

- помещает именованную закладку в код, со специальным значком , что позволяет улучшить навигацию кода.

Размещение общих закладок и навигация между ними облегчены значками, расположенными в верхней части окна редактора: Эти значки позволяют Вам помещать закладку, переходить к следующей, переходить к предыдущей и удалять закладку.

Подобным действию, наносящему цветную маркировку на ключевые слова HDL, возможно определить свои собственные цвета и для сгенерированных конструкций.

Рис. 3.32 -Редактор HDЕ, содержащий блоки.

Таким образом редактор HDE представляет собой не просто интерфейсное окно ввода кода. Редактор HDE также и ускоряет запись кода HDL, путем наличия следующих элементов:

Зарезервированные слова -возможно автоматически вставить ключевые слова только после ввода нескольких первых букв и нажатия клавиши Пробел; или клавиши со стрелкой вправо. Ключевое слово будет автоматически вставлено.

Конструкции HDL - возможно автоматически вставить целую конструкцию VHDL или Verilog после ввода нескольких первых букв и нажатия клавиши Пробел. Шаблон будет автоматически вставлен.

Следующий рисунок показывает код шаблона для случая CASE:

Рис. 3.33 - Автоматическая вставка шаблона

3.3.3.2.2 Редактор FSM (редактор конечных автоматов)

Редактор FSM представляет пользователям возможность описать проект в виде объединения цифровых автоматов. Цифровой автомат -- это концептуальное представление последовательностного устройства. Фактически любая цифровая схема с памятью может рассматриваться как конечный автомат или их объединение. Так как этот редактор отличается наглядностью, он становятся очень привлекателен большинству разработчиков.

Рис. 3. 34 - Окно редактора FSM

Как было сказано выше редактор FSM позволяет представить цифровое устройство в виде конечных автоматов. Конкретнее, конечный автомат (FSM) представляет поведение процесса и его функциональность в ограниченном количестве состояний. Проект FSM содержит следующие атрибуты:

· Список состояний;

· Список команд, которые могут быть приняты в каждом состоянии;

· Список действий, на выполнение каждой команды ;

Действия могут быть следующего вида:

· отправить команду другому компоненту (асинхронно и синхронно);

· выполнить часть кода (пользовательская подпрограмма);

· установить состояние.

Имеются определенные условия для каждого состояния, которые вызывают перечисленные действия. Условия остаются активными и повторяют действия всякий раз, когда компонент изменяет свое состояние, т.е. запускается или останавливается.

New Source File Wizard (Мастер нового исходного файла)

Начинающие разработчики могут выбрать New Source File Wizard, чтобы создать шаблон конечного автомата. Этот мастер помогает в процессе создания проекта. При использовании мастера предоставляется та же самая информация, как если бы пришлось вводить код в кодовом редакторе. Различие между файлом, создаваемым

Мастером и пустым файлом конечного автомата, который создается вызовом команды Add New File, в том, что сигнальные имена и объявленные порты автоматически вставлены в сгенерированный файл Мастера. При использовании команды Add New File, эти имена должны быть введены вручную.

State Machine Toolbars (Панель инструментов конечного автомата)

Можете создать любой конечный автомат в редакторе FSM. Щелкая по соответствующему значку на панели инструментов State Machine, Вы можете поместить любой из следующих элементов на схему конечного автомата:

· стандартное и иерархическое состояние

· переход

· диаграмма

· сигнал или переменная

· входной порт

· выходной порт

· двунаправленный порт

· сохранение и выход

· ссылка

· постоянный, универсальный параметр

· схема или машинное объявление

· сброс или начальное состояние

· текст и графические элементы

Рис. 3.35- Окно State Machine Toolbars

Редактор FSM обеспечивает свободное редактирование. Можно скорректировать форму состояния или стрелки перехода, перетаскивая их в требуемом направлении. Если элемент не может быть помещен в определенную область, редактор не будет позволять оставлять его там. Например, невозможно поместить новую часть схемы в область конечного автомата.

Чтобы изменить свойства объекта, щелкните правой кнопкой и выберите опцию Properties из контекстного меню. Можно выбрать временные параметры для конечного автомата и определить, является ли машина асинхронной или синхронной, или должна работать по нарастающему или убывающему фронту. Есть опции управляющие декодированием конечного автомата, управляющие состояниями по умолчанию, условиями сброса, и т.д.

Рис. 3.36 - Окно Machine Properties

Одна из самых важных функций редактора FSM - возможность сгенерировать код HDL для схемы конечного автомата. Это можно выполнить, выбирая опцию Generate HDL Code из меню FSM или щелкая по значку Generate HDL code, расположенному на панели инструментов FSM. Можно просмотреть сгенерированный код, выбирая опцию View HDL Code из меню FSM. Кроме того, возможно добавить пункт библиотеки, тогда, когда это необходимо. Например, при выполнении математических операций

3.3.3.2.3 Редактор BDE (Редактор Блок-схем)

Редактор BDE является инструментом графического дизайна. Этот редактор позволяет создавать иерархические блок-схемы которые представляют собой альтернативу записи VHDL кода. Редактор поддерживает автоматическую генерацию кода из схемы наряду с усовершенствованными средствами, проверяющими проект (DRC). Это позволяет использовать все методы описания проекта (чистый код HDL, диаграммы состояний и блок-схемы).

Рис. 3.37 - Окно редактора BDE

Редактор BDE, подобно типичным схемотехническим редакторам, позволяет размещать, поворачивать порты ввода-вывода, элементы, шины, и т.д., Панель инструментов, с основными командными кнопками, расположена в верхней части окна.

-переключатель к режиму редактирования для того, чтобы расположить объекты, и т.д.;

-создает пустой макро-символ и помещает его в проект.

- кнопки для рисования проводников и шин и их наименования соответственно. Эти кнопки позволяют создавать соединения между компонентами.

- кнопки для создания контактных площадок и разъемов, которые могут быть следующего типа: ввод, вывод, двунаправленный, и т.д.

- кнопки, используемые для того, чтобы ввести дополнительные инструкции или комментарии.

- символы, обозначающие "питание" ,"заземление".

- кнопки для глобального объявления сигналов и шин.

- открывает Symbols Toolbox (Панель Символов), содержащую доступные макросы. Каждый объект VHDL или модуль Verilog из библиотеки автоматически генерируют здесь символ.

Рис. 3.38 - Окно Symbols Toolbox

Можно поместить символ в схему, перетаскивая его в окно редактора или указав его местоположение.

-кнопки для того, чтобы поместить дополнительные элементы, такие как текст, рисунки, и графические файлы. Можно также отредактировать символ, помещенный в схему, выделив требуемый символ и выбрав команду Push из контекстного меню.

Функция Code2Graphics (Преобразование кода в графику)

Рис. 3.39 - Переключение между символом и его кодом

Как можно видеть в предыдущем изображении, щелкая правой кнопкой по выбранному символу, появляется доступ к нескольким различным командам. Возможно, например, повернуть или зеркально отразить символ, масштабировать его, и т.д. Как было упомянуто выше, каждому объекту VHDL (модуль Verilog) представлен автоматически создаваемый схемотехнический объект, который может быть помещен непосредственно в схему. Чтобы у созданного схемотехнического объекта сгенерировать позже его код, нужно выделить на схеме желаемый элемент и выбрать вышеупомянутую команду Push. При этом, можно выбрать между несколькими различными методами описания архитектуры:

Иерархический схемотехнический лист, редактор BDE

Диаграмма состояний конечного автомата, редактор FSM

Код VHDL, Verilog, и т.д., редактор HDЕ

Рис. 3.40 -Определение архитектуры

3.3.3.3 Группа инструментов Watch/Debugging Tools

Отладка кода VHDL в Системе Active-HDL.

Процесс проверки проекта обычно требует большой утомительной работы так как, необходимым его условием является хорошее понимание поведения моделей. Кроме того, так как язык VHDL гарантирует то, что описание любой модели является не зависящим от производителя продукта, то и проверка проекта может занимать продолжительное время. Поскольку разработчик это человек, то сохраняется большая вероятность ошибки, поэтому пакет Active-HDL уделяет большое внимание обнаружению и исправлению ошибок. Существует несколько механизмов для отладки VHDL кода:

· Syntax Checking (Проверка синтаксиса) - выполняется всегда с помощью команды Compile.

· Code tracing (Трассировка кода) -VHDL код прослеживается "оператор-за-оператором" или производится трассировка выполняющихся процессов, подпрограмм и процедур.

· Value verification (Проверка значения) - значения переменных, которые выведены на экран в дополнительных окнах Watch и List.

Syntax Checking (Проверка синтаксиса)

Active-HDL предоставляет интерактивный отладчик кода VHDL. После каждого выполнения команды Compile список ошибок выводится на экран Консоли. Каждая ошибка выводится на экран с дополнительной информацией:

имя исходного файла

внутренний код ошибки

номер строки и расположение номера столбца ошибки в коде

краткое описание ошибки

Щелкнув дважды по любой из этих ошибок, курсор перенесет непосредственно к строке кода VHDL, в которой произошла ошибка. Кроме того, эта строка будет подчеркнута красной зигзагообразной линией, а слева строки будет гореть красный маркер . При удержании на строке курсора в течение секунды, будет вызвано окно с кратким описанием ошибки, такое же как и в Консоли.

Рис. 3. 41- Окно после применения команды Compile

Команда Compile автоматически проверяет исходный код VHDL на соответствие с заранее установленными стандартами VHDL `03 LRM. Однако есть опция для того, чтобы проверить соответствие кода с другими стандартами синтаксиса кода LRM.

По умолчанию компилятор останавливает синтаксис, после обнаружения 100-ой ошибки, однако, это значение можно также изменить на вкладке Compiler окна Preferences.

Окно Preferences также позволяет произвести настройку опций отладки. В окне вкладки Debug, можно отдать свое предпочтение трассировке кода. Document Type позволяет выбирать исходный документ из кода VHDL или Конечного автомата. Команда Bring active source window to top, вызывает окно исходного кода, который прошел отладку. Возможно определить способ, с помощью которого просматривается каждый компонент., т.е. выводить на экран Separate view (Отдельное представление для каждого компонента) или Single view (Единое представление для всех компонентов).

Рис. 3.42 - Окно Preferences.

Active-HDL позволяет проверить сгенерированный конечным автоматом код VHDL на ошибки. В том же самом окне можно выбрать одну из двух опций:

· выполнить трассировку конечного автомата;

· выполнить трассировку кода VHDL , сгенерированного конечным автоматом;

Code Tracing (Трассировка кода)

Трассировка кода.

Когда синтаксис кода безошибочен, можно начать верификацию поведенческой модели. Active-HDL предоставляет редактор Waveform Editor, где все результаты представлены в форме диаграмм. Возможно быстро найти любые дефектные и нежелательные части проекта.

Active- HDL обеспечивает интерактивную графическую среду для разработки и проверки проекта. Когда это необходимо можно проследить весь исходный код VHDL "оператор-за-оператором".

Есть четыре функции, которые позволяют прослеживать код:

Trace into - выполняется единственный оператор VHDL. Если со звонком подпрограммы встречаются, убывания выполнения в тело подпрограммы.

Trace over - Проследите по - выполняет единственную команду VHDL. Если со звонком подпрограммы встречаются, операторы, содержавшие в пределах тела подпрограммы, выполняются в единственном шаге.

Trace out - выполняет столько операторов VHDL, сколько необходимо для выполнения подпрограммы. Если подпрограммы имеют вложения, то команда выполняет только внутренние вложения.

Trace over transition - выполняет столько операторов VHDL, сколько необходимо их для выполнения перехода между состояниями.

Чтобы выполнить любую из этих команд, нужно выбрать соответствующие опции из меню Simulation или щелкнуть по значку Trace. Последняя опция Trace over transition доступна только при проверке конечных автоматов.

State Machine Code Debugging (Отладка кода конечных автоматов)

Как было сказано выше, опция Trace over Transition из меню Simulation выполняет проверку конечных автоматов.

Active-HDL предлагает графический редактор (редактор FSM) для создания и редактирования конечных автоматов. Кроме того, редактор FSM позволяет в интерактивном режиме прослеживать поведение конечного автомата, выделяя желтым цветом активное, в настоящий момент, состояние. Все команды Trace являются активными во время отладки проекта, как и все остальные инструменты, описанные в этом блоке.

Поэтому, возможно проследить выполнение фактически любого оператора в коде VHDL , а также наблюдать его влияние на поведение всей модели.

Рис. 3. 43 - Окно редактора FSM

3.3.3.3.4 Breakpoints (Контрольные точки)

Другая полезная функция Active-HDL - возможность вставлять Breakpoints (контрольные точки) в код VHDL. Контрольные точки позволяют останавливать процесс верификации, когда выполняется некоторое требуемое условие (-условия). Все процессы приостанавливаются и значения сигналов выводятся на экран в окне Watch.

Редактор VHDL позволяет вставлять контрольные точки только в некоторые строки, например строки содержащие операторы, содержащие выражения присвоения, и т.д.

Рис. 3. 44 -Окно кода с Контрольными точками

Окно редактора Breakpoint Editor (Контрольной точки) состоит из двух вкладок. Первая вкладка редактора Breakpoint Editor состоит из трех столбцов для настройки Code breakpoints (контрольных точек кода). У первого столбца есть окно флажка для непосредственного включения контрольной точки, второй столбец указывает путь к исходному файлу, в котором находится контрольная точка, а третий столбец показывает номер строки в исходном файле, в которой находится контрольная точка.

Редактор Breakpoint Editor позволяет производить ручную настройку контрольных точек.

Вторая вкладка диалогового окна редактора, позволяет добавить сигналы, которые необходимо трассировать. Во второй вкладке также имеются три столбца, выводящие на экран: столбец Name (Имя) сигнала, Condition (Условие, при котором моделирование должно прекратиться), и Value (Значение сигнала). На вкладке Condition есть три опции:

· Event (Событие) - моделирование останавливается, когда выполнится сигнальное событие

· Value (Значение) - моделирование останавливается, когда для указанного сигнала сгенерируется специальное значение или с помощью средства моделирования

· Transaction (Транзакция) - моделирование останавливается, когда выполняется сигнальная транзакция.

Можно быстро перейти к расположению контрольной точки, нажимая кнопку Show code на вкладках Signal и Code breakpoint. Это - очень удобный метод определения местоположения контрольных точек в ситуациях многофайловых проектов.

Рис. 3.45 - Окно редактора Breakpoint Editor

3.3.3.3.5 Инструменты просмотра результатов моделирования

Возможно использовать и дополнительные инструменты во время моделирования, которые помогут более полно протестировать модель. Кроме того, могут быть заданы некоторые особые условия, на которые должна быть протестирована модель. Иногда также, лучше искать определенные значения сигналов, не только в редакторе Waveform Editor, но и с помощью других инструментов.

List Window (Окно списка).

List Window -это окно, в котором все результаты выводятся в табличной форме. Окно используется только в качестве средства просмотра результатов моделирования. Из-за этого нельзя здесь определять стимуляторы. Содержание окна может быть сохранено как текстовый файл. Каждый сигнал представлен столбцом с соответствующим временем события. Окно может вывести на экран значения сигналов двумя способами:

· Для всех циклов моделирования (цикл выполняется за определенный шаг);

· Только для последнего цикла моделирования (за определенный шаг).

Рис. 3.46 - Окно List

Watch Window (Окно наблюдения).

Чтобы найти последнее значение или изменение значения текущего сигнала, можно использовать окно Watch . Окно Watch отображает значения выбранных сигналов (включая порты) и значения переменных.

Рис. 3.47- Окно Watch.

Окно разделено на четыре столбца, которые показывают:

· names -имя;

· types of the selected objects- типы выбранного объекта;

· current value- текущее значение;

· last value -предыдущее значение;

Все сигналы, просматриваемые в этом окне, могут быть перемещены сюда из окна браузера Design Browser . Возможно также переместить сюда имя сигнала из исходного кода VHDL.

Processes Window (Окно процессов)

Окно Processes Window выводит список процессов в разработанной модели вместе с их текущим статусом. Это окно доступно только тогда, когда запущено средство моделирования.

Рис. 3.48 - Окно Processes

Процессы выводятся на экран в трех столбцах, которые показывают метку процесса, иерархический путь и состояние каждого процесса. Каждый оператор, моделирующий последовательный процесс представлен в окне. Это операторы:

· операторы процессов

· операторы присваивания сигналов

· операторы контроля

· операторы вызова процедуры

Для процессов без меток, компилятор генерирует метки по умолчанию, которые показывают номер строки исходного файла, в которой локализован процесс (например line__25 (строка __ 25)).

Процесс, выведенный в окне Process, может быть в одном из следующих состояний:

· Ready (Готовность)- указывает на то, что процесс будет выполнен в ходе текущего

· цикла моделирования.

· Wait (Ожидание)- означает, что процесс приостановлен и ждет возобновления.

Окно Processes может также выводить:

· Все процессы в выбранной области разработанного проекта независимо от их состояния в текущем цикле моделирования;

· Только активные процессы в выбранной области разработанного проекта, в пределах текущего цикла моделирования;

· Кроме того, возможно выбрать и саму область проекта, процессы которой необходимо проследить.

Окно Call Stack (вызова стека).

Окно Call Stack - средство отладки, которое выводит на экран список подпрограмм (процедур и функций) и переменных, выполняемых и использующихся в настоящий момент. Термин процесс использован здесь для того, чтобы обозначить любой оператор, моделирующий последовательный процесс в разработанной модели. Это операторы

- оператор процесса, операторы параллельного присваивания сигналов, операторы параллельного контроля, и операторы параллельного вызова процедуры. Для каждой подпрограммы в окне предоставляется следующая информация:

Формальные параметры наряду с их фактическими значениями.

Переменные, константы и файлы, объявленные локально в телах подпрограммы наряду с их текущим значением.

Если в моделируемом проекте имеется более одного процесса, то можно использовать окно Processes, чтобы выбрать процесс подпрограммы, которого необходимо наблюдать. Окно Call Stack доступно только после выполнения моделирования.

Рис. 3.49 - Окно Call Stack

Окно Data Flow (Поток данных).

Окно Data Flow- это инструмент, обеспечивающий графическое представление входящих сигналов и процессов во время процесса моделирования Термин процесс использован здесь для того, чтобы обозначить любой оператор, моделирующий последовательный процесс в разработанной модели. Эти операторы - оператор процесса, операторы параллельного присваивания сигналов, операторы параллельного контроля, и операторы параллельного вызова процедуры.

Окно предлагает два разных представления:

· с процессом в центре окна,

· с сигналом в центре окна.

Когда процесс выведен на экран в центре, он представлен как прямоугольник с входными сигналами на левой стороне и выходными сигналами справа. Входные сигналы считанные процессом, и выходные сигналы - обновленные процессом.

Рис. 3.50-Окно Data Flow-1

Когда на экран выведен сигнал, то он представлен как вертикальная жирная линия с обеих сторон которой показаны процессы. Процессы левой стороны обновляют сигнал. Процессы правой стороны считывают сигнал. Можно переключаться между этими двумя представлениями, щелкая по элементам в окне Dataflow.

Рис. 3.51 -Окно Data Flow-2

Сигналы выводятся на экран с их именами и процессами. Для сигналов окно Dataflow также выводит на экран их текущее значение.

3.3.3.4 Simulator Kernel (Моделирование)

Прежде чем начать моделирование схемы, необходимо определить сигналы на ее входах. Active-HDL поддерживает следующие методы задания входных сигналов для последующего моделирования:

1. Вручную задаваемые стимуляторы;

2. Файлы типа VHDL редактора Testbench (Испытательного стенда), созданные мастером Testbench Wizard;

3. Файлы типа VHDL редактора Testbench (Испытательного стенда), созданные непосредственно разработчиком;

4. Команды моделирования введенные из консоли;

5. Файлы, содержащие макрокоманды моделирования;

6. Тестовые вектора импортированные из других сред (например, из Active-CAD).

Все эти методы, обеспечивающие моделирование проекта, могут быть объединены в одном проекте. Возможно выбрать метод, который лучше всего удовлетворяет потребностям проекта и быть уверенным в рациональном соотношении между временем, необходимым для ввода входных воздействий и сложностью верификации проекта.

3.3.3.4.1 Stimulators (Стимуляторы)

Самый простой способ создать входные воздействия для моделирования это добавить требуемые сигналы к редактору Waveform Editor (редактор сигналов) и присвоить стимуляторы, которые доступны в подменю опции Stimulators. Существуют несколько типов стимуляторов, которые возможно выбрать разработчику. Поддерживаются следующие типы стимуляторов:

-Value stimulators (стимуляторы значений) позволяют присваивать значение выбранному сигналу. Значения могут быть приданы любым сигналам и векторам и могут быть применены в любое время , процесса моделирования. Средство моделирования выполняет проверку типа сигнала, чтобы проверить, доступно ли для выбранного типа сигнала указанное значение.

-Formula stimulators (стимуляторы формул) позволяют вводить формы сигналов, используя специальные формулы, которые могут описать асинхронные сигналы, определяя их время перехода и значение.

Например, формула "0 0, 1 10" описывает форму сигнала, который включается со значением '0' во время =0 и изменяется на '1' после 10 модулей моделирования.

Hotkey stimulators (стимуляторы горячих клавиш) позволяют управлять значениями сигнала непосредственно с клавиатуры. Возможно выбрать любую клавишу на клавиатуре как стимулятор горячей клавиши и присвоить его любому требуемому сигналу. Нажатие этой горячей клавиши включит значение сигнала. Например, если присвоить 'R' как горячей клавише сигнал "reset" (сброса), тогда можно задать значение сигнала-сброс во время моделирования, нажатием клавиши R на клавиатуре.

Clock stimulators (Стимуляторы времени) разработаны для того, чтобы смоделировать временную форму сигнала. Графический редактор Clock stimulators позволяет определить тактовую частоту, рабочий цикл, и т.д.

Counter stimulators (Стимуляторы счетчиков), позволяют определить счетчики для сигналов векторного типа. Так же как возможно выбрать направление и период времени для изменений сигналов.

Predefined stimulators (Стимулятор предопределения) - временная форма сигнала с предопределенной частотой, рабочим циклом и формулами, создаваемая для часто моделируемых приложений.

Custom stimulators (Пользовательские стимуляторы) создаются, присвоением графических форм сигналов, выведенные на экран в редакторе Waveform Editor как входные воздействия моделирования. Эти формы сигналов выведены на экран в редакторе Waveform Editor с помощью других видов стимуляторов.

Рис. 3.52 - Окно Stimulators

Преимущества Стимуляторов:

· Самый быстрый и самый легкий метод задания значений сигналов;

· Интерактивное присвоение стимуляторов позволяет мгновенный просматривать результаты средства моделирования;

· Стимуляторы могут быть применены к любому сигналу и входному порту проекта;

· Удобство в отладке низкоуровневых процессов и архитектур.

Недостатки:

o Испытательный стенд VHDL может только управлять сигналами только на уровне поведенческой модели;

o Стимуляторы сохраняются как файлы формы сигнала;

o Стимуляторов не достаточно для того, чтобы выполнить некоторые такие сложные процессы моделирования, как считывание данных файлов, и т.д.;

o Стимуляторы являются встроенным инструментом Active- HDL и не будут поддерживаться в других средствах моделирования VHDL.

3.3.3.4.2 Waveform Editor (Редактор формы сигнала)

Редактор Waveform Editor позволяет графически редактировать графическую форму любого сигнала с помощью различных инструментов, например это перетаскивание, копирование, вставка и создания новых форм сигналов. Эти графические формы сигналов могут использоваться в качестве пользовательских стимуляторов, присваивая их значение требуемым сигналам.

Отредактированные графические формы сигналов могут также использоваться в качестве входных параметров моделирования в паре с Test Bench Wizard (мастер испытательных стендов, будет описан позже), который генерирует тестовую программу VHDL, основанную на отредактированных формах сигналов.

Рис. 3.53 - Демонстрационное выполнение моделирования

Сравнение результатов моделирования.

Редактор Waveform Editor также позволяет сравнить результаты моделирования. Сигналы, между значениями которых было обнаружено различие, выводятся на экран в окне редактора Waveform Editor красным цветом шрифта. Значок показывающий сравнение сигналов, расположен в верхней части окна. После работы предыдущего моделирования, его результаты сохраняются в папке проекта. В случае несоответствия результатов выполнения моделирования, на экран выводиться соответствующее сообщение.

Рис.3.54 - Сравнение выполнений моделирования.

3.3.3.4.3 Simulation Macros (Макросы моделирования)

Макросы вводимые в консоль. Active-HDL предлагает языковые макрокоманды для ввода таких команд моделирования как присвоение значений сигналам, присвоение формул и выполнение шагов моделирования. Возможно также присвоить значение сигналу в любой момент процесса моделирования, вводя соответствующую макрокоманду в Консоль.

Можно также использовать макрокоманды, чтобы добавить вызванные сигналы к редактору Waveform Editor и т.д.

Рис. 3.55 -Окно Консоли

Файлы, содержащие Макросы.

Макрокоманды моделирования могут быть выполнены из файла, экономя время на ручной записи каждой команды в Консоль. Макросы моделирования не только могут задать входные сигналы, но они могут также выполнить и другие команды в среде Active-HDL. Это позволяет достичь полной автоматизации процесса верификации проекта, а так же комбинировать метод с другими методами моделирования. Например, можно написать сценарий, который будет выполнять несколько циклов моделирований, один за другим, используя несколько файлов Test Bench . Макро-сценарии могут выполнить и внешние программы, такие как программа синтеза, пакетные файлы, и т.д.

...

Подобные документы

  • Проектирование цифровых устройств на ПЛИС фирмы Xilinx с применением языка VHDL, использование систем Leonardo Spectrum, Foundation Express и Integrated Synthesis Environment (ISE). Синтез и реализация проекта, разработка регистровой схемы и умножителя.

    курсовая работа [2,3 M], добавлен 28.06.2009

  • Определение принципов работы с САПР Xilinx WebPACK. Особенности проектирования простейших комбинационных схем на базе ПЛИС. Описание устройства на языке VHDL, набор тестовых воздействий и временные диаграммы его работы. Размещение устройства на кристалле.

    лабораторная работа [318,7 K], добавлен 28.05.2012

  • Разработка трехмерной модели судна на уровне эскизного проекта в системе автоматизированного проектирования CATIA v5 R19. Технология и этапы автоматизированного проектирования. Параметризация и декомпозиция судна как сборки. Принципы работы в CATIA.

    методичка [597,5 K], добавлен 21.01.2013

  • История развития логических схем с программируемой и репрограммируемой структурами, рост уровня их интеграции и взаимосвязь между ними. Краткий обзор основных семейств Плис фирмы Xilinx. Детальный обзор архитектур и характеристик серий семейства Spartan.

    контрольная работа [1,2 M], добавлен 13.06.2013

  • Характеристика состава, интерфейса и основных возможностей программы схемотехнического моделирования и проектирования семейства Micro-Cap8, которая относится к наиболее популярным системам автоматизированного проектирования (САПР) электронных устройств.

    реферат [108,0 K], добавлен 12.03.2011

  • Современные семейства ПЛИС фирмы Xilinx, их характеристики и значимость в процессе построения систем цифровой обработки сигналов. Создание базы параметров, фактов и запрещенных комбинаций для решения открытой задачи поискового проектирования модели ПЛИС.

    курсовая работа [3,6 M], добавлен 14.12.2012

  • Основные цели и принципы построения автоматизированного проектирования. Повышение эффективности труда инженеров. Структура специального программного обеспечения САПР в виде иерархии подсистем. Применение методов вариантного проектирования и оптимизации.

    презентация [259,7 K], добавлен 26.11.2014

  • Применение службы каталога Active Directory для решения задач управления ресурсами в сетях под управлением Windows. Обеспечение доступа к базе данных, в которой хранится информация об объектах сети. Логическая и физическая структура Active Directory.

    презентация [207,2 K], добавлен 10.09.2013

  • Структура и классификация систем автоматизированного проектирования. Виды обеспечения САПР. Описание систем тяжелого, среднего и легкого классов. Состав и функциональное назначение программного обеспечения, основные принципы его проектирования в САПР.

    курсовая работа [37,7 K], добавлен 18.07.2012

  • Понятия доменной архитектуры. Модели управления безопасностью. Реализации службы каталогов. Возможности Active Directory. Установка контроллеров домена. Поиск объектов в глобальном каталоге. Использование сайтов, упрощение процессов Active Directory.

    презентация [243,9 K], добавлен 05.12.2013

  • AutoCAD как одна из самых популярных графических систем автоматизированного проектирования, круг выполняемых ею задач и функций. Технология автоматизированного проектирования и методика создания чертежей в системе AutoCAD. Создание и работа с шаблонами.

    лекция [58,9 K], добавлен 21.07.2009

  • Технологии автоматизированного проектирования, автоматизированного производства, автоматизированной разработки и конструирования. Концептуальный проект предполагаемого продукта в форме эскиза или топологического чертежа как результат подпроцесса синтеза.

    реферат [387,2 K], добавлен 01.08.2009

  • Ландшафт, ландшафтные объекты и способы их описания. Основные этапы проектирования. Особенности проектирования ландшафтных объектов. Обоснование необходимости автоматизации процесса проектирования ландшафтных объектов. Разработка АРМ.

    дипломная работа [2,9 M], добавлен 06.12.2006

  • Понятие и функции систем автоматизированного проектирования (САПР), принципы их создания и классификация. Проектирующие и обслуживающие подсистемы САПР. Требования к компонентам программного обеспечения. Этапы автоматизации процессов на предприятии.

    реферат [19,8 K], добавлен 09.09.2015

  • Мова VHDL. Створення проекту для моделювання цифрових і аналогових схем. Синтез і моделювання комбінаційних пристроїв, заданих в табличній формі, за допомогою системи Active-HDL 6.1. Створення ієрархічних структур при проектуванні складних пристроїв.

    реферат [287,3 K], добавлен 14.02.2009

  • Предпосылки внедрения систем автоматизированного проектирования. Условная классификация САПР. Анализ программ, которые позволяют решать инженерные задачи. Система управления жизненным циклом продукта - Product Lifecycle Management, ее преимущества.

    контрольная работа [1,3 M], добавлен 26.09.2010

  • Исследование принципа работы основных логических элементов цифровых устройств. Описания вычислительных машин непрерывного и дискретного действия. Инверсия конъюнкции, дизъюнкции и равнозначности. Разработка программы, реализующей логические операции.

    практическая работа [230,8 K], добавлен 25.03.2015

  • Разработка на программируемой логической интегральной микросхеме арифметико-логического устройства для выполнения операций над числами. Описание его функционирования. Коды команд АЛУ. Реализация действия RS триггера. Структура, тело и работа программы.

    курсовая работа [697,1 K], добавлен 16.12.2014

  • Функциональное описание процесса разработки системы автоматического проектирования цилиндрической емкости. Математическая постановка и программное обеспечение задачи. Алгоритм работы программы и результаты ее работы, анализ использования основных окон.

    курсовая работа [876,0 K], добавлен 20.12.2012

  • Проектирование арифметико-логических устройств (АЛУ). Отладка описания логических схем на языке VHDL. Классификация АЛУ по способу представления чисел, характеру использования элементов и узлов. Список стандартных функций АЛУ, его описание на языке VHDL.

    лабораторная работа [633,4 K], добавлен 11.03.2014

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.