Цифровая схемотехника

Рассмотрение основных этапов проектирования комбинационного цифрового устройства. Особенности составления булевых уравнений. Характеристика минимизации методом карт Карно. Установление особенностей функциональной полноты элементов И-НЕ и ИЛИ-НЕ.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид магистерская работа
Язык русский
Дата добавления 20.01.2016
Размер файла 2,5 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Цифровая схемотехника

Версия 1-я

Пономарев А.В.

Содержание

цифровой карно булевой уравнение

Введение

Глава 1. Проектирование цифрового устройства

1.1 Элемент НЕ. Логическое отрицание

1.2 Элемент И. Логическое умножение

1.3 Элемент ИЛИ. Логическое сложение

1.4 Закон де Моргана. Закон двойного отрицания

1.5 Элемент И-НЕ. Функциональная полнота элемента И-НЕ

1.6 Элемент ИЛИ-НЕ. Функциональная полнота элемента ИЛИ-НЕ

1.7 Составление булевых уравнений по таблице истинности. Минимизация методом карт Карно

1.8 Основные этапы проектирования цифрового устройства

Глава 2. Проектирование комбинационных цифровых устройств

2.1 Мультиплексор

2.2 Демультиплексор

2.3 Шифратор

2.4 Дешифратор

2.5 Кодопреобразователь

2.6 Компаратор

2.7 Мажоритарный элемент

2.8 Сумматор

2.9 Полусумматор

Введение

Версия первая, отсутствуют: введение, глава 3, глава 4.

Электронный адрес - pon.andr.ch@yandex.ru

Версия, необходимая для воспроизведения формул: Microsoft Word 2007

Год 2014.

Глава 1. Проектирование цифрового устройства

В данном главе будут рассмотрены основные логические операции, элементы и законы, которые будут использоваться на протяжении последующих глав.

Построение цифрового устройства предполагает использование математического аппарата, а именно алгебры логики. При помощи алгебры логики можно производить логические операции только над двумя константами - логическим нулем, ложь (сигнал низкого уровня), и логической единицей, истина (сигнал высокого уровня).

Под уровнем сигнала в цифровой электронике понимается амплитуда напряжения. К примеру, если на одном из входов (или выходе) схемы или элемента присутствует напряжение величиной 5 В, это соответствует в точке снятия логической единице. Если в точке снятия напряжение не превышает 0,3 В, то это трактуется как логический ноль (рис.1). Важно отметить, что значение напряжения для логического нуля и логической единицы могут меняться от одной интегральной схемы к другой. Например, выходное напряжение низкого уровня может начинаться от 0 В до 0,4 В, выходное напряжение высокого уровням- от 2,4 В до 5 В. Промежуток между 0,4 В до 2,4 В, в принципе не представляет интереса. Значение напряжения для низкого и высокого уровня для конкретной интегральной схемы необходимо смотреть в техническом описании к ней.

Рис. 1. Иллюстрация к понятию логического нуля и логической единицы. В момент t1 происходит переход из состояния логической единицы в состояние логического нуля, значение амплитуды напряжения уменьшается. В момент t2- происходит обратное.

Рассмотренная выше логика называется положительной. Существует и отрицательная логика (логический ноль начинается при отрицательном значении напряжения), которая далее рассматриваться не будет.

Для построения любого цифрового устройства при помощи алгебры логики (конкретнее применяется одна из систем алгебры логики - булева алгебра) используется три логических операции:

- конъюнкция (логическое И, логическое умножение);

- дизъюнкция (логическое ИЛИ, логическое сложение);

- логическое отрицание (логическое НЕ).

А также аксиомы, свойства и законы алгебры логики. Далее будут рассмотрены лишь три закона алгебры логики, и некоторые из аксиом.

Существует также две универсальные операции:

- стрелка Пирса (логическое ИЛИ-НЕ);

- штрих Шеффера (логическое И-НЕ).

На любом из двух последних элементов можно реализовать любую из трёх операций И, ИЛИ, НЕ, а значит и любую цифровую схему. Такой набор логических операций (система ИЛИ-НЕ, система И-НЕ или операции И-НЕ, ИЛИ-НЕ), с помощью которой можно построить любую логическую функцию называется базисом.

1.1 Элемент НЕ. Логическое отрицание

Элемент НЕ реализует функцию логического отрицания и представляет собой инверсию (переворот) операнда. Под операндом здесь, и далее подразумевается аргумент, переменная, над которой производятся операции.

Обозначается чертой над операндом

Условное графическое обозначение (УГО) элемента НЕ представляет собой прямоугольник с пропорциями 2:3, и знаком инверсии (пустой круг) на выходе (рис.2).

Рис. 2. Условное графическое обозначение элемента НЕ

Математическое описание элемента НЕ в форме таблице истины (ТИ) выглядит следующим образом (табл. 1):

Таблица 1 - ТИ элемента НЕ

x

0

1

1

0

Иными словами, на выходе будет логическая единица только тогда, когда на входе логический ноль. И наоборот, на выходе будет логический ноль только тогда, когда на входе присутствует логическая единица. Что можно было бы продемонстрировать, если включить на выход элемента НЕ через резистор светодиод (рис.3 а, б).

а) б)

Рис.3 а, б. Принцип работы элемента НЕ

1.2 Элемент И. Логическое умножение

Элемент И реализует функцию логического умножения или, что то же самое, конъюнкции.

Логическое умножение двух операндов x1 и x2, записывается следующим образом: , или x1 x2(предполагается знак умножение между операндами). Далее будет использоваться запись вида x1 x2. Заметим, что количество входов может быть и больше ( от x1 до x n ), для простаты примера здесь и далее будут рассматривается двухвходовые элементы.

Условное графическое обозначение элемента И (рис.4):

Рис. 4. Условное графическое обозначение элемента И (y= x1 x2)

Математическое описание в форме таблице истинности элемента И (табл. 2):

Таблица 2 - ТИ элемента И

X1

X2

y= x1 x2

0

0

0

1

0

0

0

1

0

1

1

1

Из таблицы истинности видно, что логическая единица на выходе логического элемента будет тогда и только тогда, когда на обоих входах будет логическая единица. Во всех остальных случаях на выходе будет логический ноль. Иллюстрация работы элемента И (рис. 5 а, б, в, г):

Рис. 5 а, б, в, г. Иллюстрация работы элемента И. Высокий уровень напряжения будет только в том случаи, когда на обоих входах присутствует высокий уровень напряжения г).

1.3 Элемент ИЛИ. Логическое сложение

Элемент ИЛИ реализует функцию логического сложения или дизъюнкции.

Логическое сложение двух операндов x1 и x2, записывается следующим образом: ,

Условное графическое обозначение элемента И (рис. 6):

Рис.6 Условное графическое обозначение элемента ИЛИ

Математическое описание в форме таблице истинности элемента ИЛИ:

Таблица 3 - ТИ элемента ИЛИ

X1

X2

y=

0

0

0

1

0

1

0

1

1

1

1

1

Словесно описание работы элемента ИЛИ выглядит следующим образом: на выходе будет присутствовать логическая единица тогда, и только тогда, когда хотя бы на одном входе есть логическая единица. Проиллюстрируем работу элемента ИЛИ следующими рисунками

Рис. 7 а, б, в, г. Иллюстрация работы элемента ИЛИ. Низкий уровень напряжения будет только в том случаи, когда на обоих входах присутствует низкий уровень напряжения а).

Описанные выше три логические элемента НЕ, И, ИЛИ являются основными и функционально достаточными элементами булевой алгебры.

1.4 Законы де Моргана. Закон двойного отрицания

В системе булевой алгебры (далее булева алгебра) применяются три операции - конъюнкция, дизъюнкция, и отрицание. Эти операции выполняют цифровые элементы описанные выше И, ИЛИ, НЕ соответственно. Также выделяются две константы - 0 и 1. Для того чтобы производить преобразования над логическими выражениями, булева алгебра предоставляет ряд законов, аксиом и свойств.

Здесь не будут приводиться все аксиомы, свойства и законы булевой алгебры. Ограничимся рассмотрением трех законов - законов де Моргана и закона двойного отрицания. Свойства булевой алгебры, которые необходимо будет применить в следующих параграфах, будут оговариваться отдельно.

Законы де Моргана включают в себя два закона, которые связывают логические операции при помощи инверсии. Запишем их для двух операндов:

Т.е. при “разрыве” отрицания над логическим выражением меняется операция конъюнкция на дизъюнкцию (в первом законе) или дизъюнкции на конъюнкцию (во втором законе). Заметим, что отрицание, стоящее в правой части над всем выражением, исчезает в левой части, но остается в над каждым операндом по отдельности. Следует сделать ещё один вывод: операцию И можно реализовать с помощью элементов ИЛИ, и наоборот, операцию ИЛИ можно реализовать с помощью элементов И (что даёт создать полный базис, и значит реализовать любую логическую функцию, из операций И-НЕ или ИЛИ-НЕ, не используя систему из всех трёх основных операций И-ИЛИ-НЕ). Далее, говоря о применении закона де Моргана имеется в виду применение того закона из двух, которое ближе по смыслу.

Для примера преобразуем с помощью законов де Моргана следующие выражения:

1.

2.

Закон двойного отрицания или закон снятия двойного отрицания гласит: если неверно, что неверно утверждение x, то утверждение x верно. Или .

Отметим, что если два раза проинвертировать некое выражение, например , то его верность не изменится .

Пример:

1. Преобразуем конъюнкцию операндов в дизъюнкцию:

Проинвертирует выражение два раза (смысл выражение не изменится):

Применим закон де Моргана:

2. Преобразуем конъюнкцию операндов в дизъюнкцию:

3. Преобразуем дизъюнкцию операндов в конъюнкцию:

Таким образом, применяя описанные выше законы, можно проводить преобразования в логических выражениях.

1.5 Элемент И-НЕ. Функциональная полнота элемента И-НЕ

Элемент И-НЕ или штрих Шеффера реализует функцию конъюнкцию (логическое умножение, И) с инверсией (логическое отрицание, НЕ).

Штрих Шеффера двух операндов x1 и x2 записывается в виде . Соответственно исходя из своего определения .

Условное графическое обозначения элемента Шеффера выглядит также как и у элемента И с добавлением знака инверсии на выходе элемента (рис. 8).

Рис. 8. УГО элемента 2И-НЕ (штрих Шеффера)

Запись вида 2И-НЕ означает, что у выбранного элемента И-НЕ имеется лишь два входа, далее по тексту будит применяться именно такой элемент. Важно отметить, что количество входов может быть больше двух, но для единообразия мы остановимся на двухвходовом элементе.

Математическое описание элемента 2И-НЕ в форме таблицы истинности будет представлять собой описание элемента И с инверсией уровня на выходе (табл. 4).

Таблица 4 - ТИ элемента 2И-НЕ

X1

X2

Y =

0

0

1

1

0

1

0

1

1

1

1

0

Соответственно таблицы истинности на выходе элемента 2И-НЕ будет присутствовать логический ноль тогда и только тогда, когда на обоих входах будут присутствовать логические единицы (рис. 9 а, б, в, г).

Рис. 9 а, б, в, г. Иллюстрация работы элемента 2И-НЕ

Как отмечалось в начале главы на элементе И-НЕ возможно построение любого из трёх элементов И, ИЛИ, НЕ, что даёт возможность синтезировать цифровое устройство используя в качестве базового элемента элемент И-НЕ.

Для того чтобы убедится в вышесказанном, покажем функциональную полноту элемента И-НЕ.

Реализация элемента НЕ с помощью элемента И-НЕ

Подадим на оба входа одного элемента И-НЕ какое либо значение x (рис. 10 а.).

Согласно логике работы элемента И-НЕ на выходе появится выходной сигнал y, являющийся логическим умножением с инверсией двух операндов xx:

Преобразуем согласно закону де Моргана:

Согласно одной из аксиом алгебры логики (аксиома идемпотентности), повторное действие над объектом не изменяет его , применим его:

Таким образом, возможно используя элемент И-НЕ получить элемент выполняющие функцию инверсии (НЕ).

Рис. 10 а, б. Элемент НЕ, реализованный на элементе И-НЕ

Важно отметить, что у некоторых реальных логических элементов И-НЕ присутствует на входах технические единицы, что даёт возможность инвертировать сигнал, подавая его на любой один из входов. Это можно увидеть, если посмотреть на две последних строки ТИ для элемента И-НЕ (табл. 5). Таким образом реализуется свойство констант.

В дальнейшем для инверсии будит использоваться обозначение, указанное на рис. 10 б. Хотя технически более правильно использовать обозначение, указанное на рис. 10 а.

Таблица 5 - ТИ элемента 2И-НЕ

X1

X2

Y =

0

0

1

1

0

1

0

1

1

1

1

0

Реализация элемента И с помощью элементов И-НЕ

Функцию И можно реализовать используя два элемента И-НЕ (рис. 11).

Рис. 11. Реализация функции И на элементах И-НЕ

Нетрудно увидеть, что первый элемент D1 выполнит логическое умножение с инверсией. Чтобы избавится от инверсии и тем самым оставить только искомое логическое умножение между операндами, значения инвертируются с помощью второго элемента И-НЕ D2. Тем самым по закону двойного отрицания на выходе D2 будем иметь:

Получена функция И на элементах И-НЕ.

Реализация элемента ИЛИ с помощью элементов И-НЕ

Реализуем элемент ИЛИ с помощью трёх элементов И-НЕ (рис. 12).

Рис. 12. Реализация элемента ИЛИ с помощью трёх элементов И-НЕ

С помощью элементов D1 и D2 получена инверсия значений x1 и x2. Элемент D3 выполняет операцию умножения с инверсией.

Далее согласно закону де Моргана:

И, наконец, применив закон двойного отрицания:

Получим реализацию функции ИЛИ на элементах И-НЕ.

Таким образом показана функциональная полнота элемента И-НЕ.

1.6 Элемент ИЛИ-НЕ. Функциональная полнота элемента ИЛИ-НЕ

Элемент ИЛИ-НЕ или стрелка Пирса реализует функцию дизъюнкции (логического сложения, ИЛИ) с инверсией (логическим отрицанием, НЕ).

Стрелка Пирса двух операндов x1 и x2 записывается в виде . Для двух операндов можно записать .

Условное графическое обозначения элемента Шеффера выглядит также как и у элемента ИЛИ с добавлением знака инверсии на выходе элемента (рис. 13).

Рис. 13. УГО элемента 2ИЛИ-НЕ

Составим математическое описание элемента 2ИЛИ-НЕ в форме таблицы истины (табл. 6)

Таблица 6 - ТИ элемента 2ИЛИ-НЕ

X1

X2

Y=

0

0

1

1

0

0

0

1

0

1

1

0

Анализируя таблицу истинности, на выходе элемента 2ИЛИ-НЕ будет присутствовать логическая единица тогда в том случаи, когда на обоих входах будут присутствовать логические нули (рис. 14 а, б, в, г).

Рис. 14. Логика работы элемента 2ИЛИ-НЕ

Покажем функциональную полноту логического элемента ИЛИ-НЕ.

Реализация элемента НЕ с помощью элемента ИЛИ-НЕ

Подадим на оба входа одного элемента ИЛИ-НЕ какое либо значение x (рис. 15 а.).

Согласно логике работы элемента ИЛИ-НЕ на выходе появится выходной сигнал y, являющийся логическим сложением с инверсией двух операндов xx:

Преобразуем согласно закону де Моргана:

Согласно одной из аксиом алгебры логики (аксиома идемпотентности), повторное действие над объектом не изменяет его , применим его:

Таким образом, возможно используя элемент И-НЕ получить элемент выполняющие функцию инверсии (НЕ).

Рис. 15 а, б. Элемент НЕ, реализованный на элементе И-НЕ

Как в случаи элемента штрих Шеффера чтобы получить инверсию необязательно подавать исходный операнд на все входы элемента ИЛИ-НЕ, достаточно подать входной логический сигнал лишь на один из входов (рис. 15 б.). На остальных не задействованных входах будет присутствовать логическая константа ноль, в правильности можно убедится посмотрев таблицу 7.

Таблица 7 - ТИ элемента 2ИЛИ-НЕ

X1

X2

Y

0

0

1

1

0

0

0

1

0

1

1

0

Реализация элемента И с помощью элементов ИЛИ-НЕ

Функцию И можно реализовать используя три элемента ИЛИ-НЕ (рис. 16).

Рис. 16. Реализация функции И на элементах ИЛИ-НЕ

После инверсии через элементы D1 и D2 оба логических сигнала попадают на входы элемента D3, который производит операцию логического сложения и инверсию.

Далее согласно закону де Моргана:

Применив закон двойного отрицания:

То есть получена функция И при помощи элементов ИЛИ-НЕ.

Реализация элемента ИЛИ с помощью элементов ИЛИ-НЕ

Реализуем элемент ИЛИ с помощью двух элементов ИЛИ-НЕ (рис. 17).

Рис. 17. Реализация элемента ИЛИ с помощью трёх элементов И-НЕ

С помощью элемента D1 получена функция логического сложения и инверсия значений x1 и x2. Элемент D2 выполняет операцию инверсии. В результате получена функция ИЛИ двух операндов при помощи элементов ИЛИ-НЕ.

1.7 Составление булевых уравнений по таблицы истинности. Минимизация методом карт Карно

Логика работы цифрового устройства описывается таблицей истинности, в которой показывается, какие логические уровни будут присутствовать на выходе цифровой схемы при заданных логических уровнях на входе этой схемы. Для того чтобы синтезировать схему с заданной логикой работы необходимо составить булево уравнение (в случаи если у схемы предполагается один выход) или систему уравнений (в случаи если выходов у схемы больше одного). Рассмотрим два способа составления уравнений из таблицы истинности: прямым и методом карт Карно.

Способ первый: составление уравнений из таблицы истинности прямым способом.

При составлении булевых уравнений прямым способом нужно учитывать, что получившиеся уравнения могут быть не минимально возможными.

Выделим алгоритм составления уравнения по таблице истинности:

1. Выделим те строки, в которых функция принимает истинное значение;

2. Составим для этих строк минтермы операндов;

3. Соединим минтермы при помощи операции дизъюнкции.

Рассмотрим пример.

Составим уравнение для устройства, имеющего один выход y, три входа x0, x1, x2. Логика работы устройства описана в таблицы 8.

Таблица 8 - Описание работы устройства

x0

x1

x2

y

0

0

1

0

0

1

0

1

1

1

0

0

1

0

1

1

В данном случае, устройство имеет один выход, значит, будет составлено одно уравнение.

Выделим все строки, в которых y принимает значение логической единицы (табл. 9).

Таблица 9 - Составление уравнения

x0

x1

x2

y

0

0

1

0

0

1

0

1

1

1

0

0

1

0

1

1

Составим функцию для строки три. В этой строке x0 и x2 принимают ложные значения, x1 принимает истинное значение. Соединим эти операнды при помощи конъюнкции (элемент И):

Такая функции (принимающая истинное значения), в которую входит конъюнкция переменных или их отрицания называется минтермом.

Составим минтерм для строки пять:

Так как имеется два минтерма, соединим их при помощи дизъюнкции (элемент ИЛИ):

Что и будет уравнением устройства описанной таблицей истинности 8.

Выделим алгоритм составления системы уравнений по таблице истинности:

1. Определим количество выходов, следовательно, и количество уравнений в системе;

2. Для каждого из выходов составим уравнение:

2.1 Выделяем те строки, в которых функция принимает истинное значение;

2.2 Составлим для этих строк минтермы операндов;

2.3 Если минтермов больше одного, то соединим минтермы при помощи операции дизъюнкции.

3. Объединим полученные уравнения в систему.

Рассмотрим пример.

Пусть заданно устройство, логика работы которого описана в таблице 10. У устройства имеется два входа x0 и x1, и два выхода y1, y0. Так как задано два выхода уравнения для каждого из выходов будут составляться отдельно. Составим систему уравнений, состоящую из двух уравнений.

Таблица 10 - Описание работы устройства

x0

x1

y0

y1

0

0

0

1

0

1

0

0

1

0

1

0

1

1

0

1

Выделим строки, в которых y0 принимает истинные значения. y0 принимает истинное значение только в одной строке, а именно в четвертой строке. Составим уравнение для y0:

Выделим строки, в которых y1 принимает истинные значения. Здесь имеется две строки: вторая и пятая. Для второй строки минтерм будет иметь вид . Для пятой . Объединим их с помощью операции ИЛИ, тем самым составив уравнение для y1:

Остается составить систему уравнений, описывающую заданное устройство:

Способ второй: составление уравнений из таблицы истинности методом карт Карно.

Карты Карно представляет собой видоизмененную таблицу истинности, который позволяет минимизировать булевы функции. Это значит, что по сравнению с составлением булевых уравнений из не видоизмененной таблицы истинности, уравнения, полученные методом минимизации карт Карно, будут содержать меньше операций над операндами. Отметим, что последние утверждение не всегда верно, так как булева функция, полученная напрямую из таблицы истинности, может иметь минимально возможную форму.

Здесь не будет приводиться подробный алгоритм составления карт Карно для разного числа операндов, ограничимся рассмотрением примеров составления уравнений посредствам карт Карно для таблиц истинности, содержащих два, три, четыре операнда.

Перед тем как привести примеры, отметим основные положения, которыми будем руководствоваться при объединении областей (групп):

1. Область, которая подвергается объединению, должна состоять из логических единиц, при этом объединению подлежат только прямоугольные области, содержащие число логических единиц 2n (т.е. 2 клетки, 4 клетки и т.д.).

2. Клетки, находящиеся на границе карты, граничат между собой, и могут быть объединены.

3. Все единицы должны быть объединены в какую-либо область, причем количество областей должно быть минимальным.

4. Одна ячейка может быть включена в разные области.

Названные положения касаются только случая объединения областей, состоящих из логических единиц.

Уравнение составляется следующим образом: в конъюнкцию области входит только те операнды, которые не меняют свои состояния на противоположные в пределах области. В случае если областей больше одного, между конъюнкциями областей ставятся дизъюнкции.

Система уравнений строится по тем же принципам, но карты Карно должны быть построены для каждого из выходов по отдельности.

Пример 1. Составим уравнение содержащих два операнда (или их инверсию) по таблице истинности 11 посредствам карт Карно.

Таблица 11 - Карта Карно для двух операндов

x0

x1

y

0

0

1

1

0

1

0

1

0

1

1

0

Составим карту Карно, для этого преобразуем таблицу истинности к виду, показанному на рисунке 18.

Рис. 18. Карта Карно для двух операндов

Здесь, горизонтальная часть отводится операнду x1, которое принимает значение 0 и 1 (). Вертикальной части таблицы аналогично соответствует x0 .

Выделим те строки таблицы истинности 11, где y принимает значение логической единицы: строки два и три. Заметим, что во второй строке x0 и x1 принимает значение 00 (), в третьей строке x0 и x1 принимает значение 10 ().

Проставим в карте Карно 18 на пересечениях x0 x1 единицы в тех местах, где и (рис. 19).

Рис. 19. Заполненная карта Карно для двух операндов

Выделим область согласно положениям объединения областей (Рис. 20).

Рис. 20. Объединение областей в карте Карно для двух операндов

Получена одна область, составим уравнение. Операнд меняет в области свое значение на инверсию . Неинвертированный операнд x1 не входит в область. Единственный операнд, который не меняет своего значения в полученной области - . Тогда уравнение примет вид:

.

Заметим, что если составлять уравнение из таблицы 10 прямым способом, то получилось бы не минимизированное уравнение:

,

которое можно преобразовать к минимально возможной форме путем применения аксиом и свойств алгебры логики.

Пример 2. Составим уравнение содержащих три операнда (или их инверсию) по таблице истинности 12 посредствам карт Карно.

Таблица 12 - Карта Карно для трёх операндов

x0

x1

x2

y

0

0

0

1

0

0

1

1

0

1

0

1

0

1

1

0

1

0

0

0

1

0

1

1

1

1

0

0

1

1

1

0

Составим карту Карно дл трех операндов (рис. 21).

Рис. 21. Карта Карно для трех операндов

Для трех операндов горизонтальная часть соответствует операндам x1 x2, которые принимают значение 00, 01, 11, 10. Важно отметить, что порядок 00, 01, 11, 10 должен соблюдаться в точности, изменения его на другой порядок не допускается. Вертикальной части таблицы соответствует операнд x0, принимающей значение 1 и 0).

Заполним карту Карно. Аналогично предыдущему примеру: выделим строки в таблице истинности 12, где y принимает истинное значение (вторая, третья, четвертая, седьмая строки). Проставим единицы в те ячейки карты Карно, которые соответствуют значениям операндов в этих строках (рис. 22).

Рис. 22. Заполненная карта Карно для трех операндов

Выделим области согласно положениям объединения областей (Рис. 23).

Рис. 23. Объединение областей в карте Карно для трех операндов

Выделено две области. В первой области полностью находится операнды и , объединим их конъюнкцией . Во второй области не меняют своего значения операнды , объединим их в конъюнкцию . Так как есть две области, объединим конъюнкции областей операцией дизъюнкции, тем самым составив конечное уравнение:

Пример 4. Составим уравнение содержащих четыре операнда (или их инверсию) по таблице истинности 13 посредствам карт Карно.

Таблица 13 - Карта Карно для четырех операндов

x0

x1

x2

x3

y0

y1

0

0

0

0

1

0

0

0

0

1

0

0

0

0

1

0

1

0

0

0

1

1

0

1

0

1

0

0

0

0

0

1

0

1

0

0

0

1

1

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

0

0

1

0

0

1

0

1

0

1

0

1

0

1

1

0

1

1

1

0

0

0

0

1

1

0

1

0

0

1

1

1

0

0

0

1

1

1

1

0

1

Заметим, что в данном примере имеется два выхода, значит, будет получена система из двух уравнений, следовательно, необходимо для каждого из выходов строить собственную карту Карно.

Составим карту Карно дл четырех операндов (рис. 24).

Рис. 24. Карта Карно для четырех операндов

Аналогично предыдущим примерам, заполним карту Карно для y0

Рис. 25. Карта Карно для y0

Выделим области согласно положениям объединения областей

Рис. 26. Объединение областей в карте Карно для y0

Составим уравнение для :

Заполним карту Карно для y1 (рис. 27).

Рис. 27. Карта Карно для y1

Выделим области согласно положениям объединения областей

Рис. 28. Объединение областей в карте Карно для y1

Составим уравнение для :

Объединим получение уравнения в систему:

Если проанализировать последнюю систему уравнений можно заметить, что в неё не входит операнд x0, это значит, что его логическое состояние не как не влияет на состояния, которые принимают y0 и y1. В принципе, можно было преобразовать таблицу истинности 12 и решать задачу с тремя операндами, но здесь преследовалась цель показать принцип построения карты Карно для четырёх операндов.

1.8 Основные этапы проектирования цифрового устройства

Проектирование цифрового устройства начинается с выяснения логики работы будущего устройства, количества входов, выходов, после чего приступают к основным этапам проектирования цифрового устройства.

Выделим основные этапы проектирования цифрового устройства:

1. Построить математическую модель цифрового устройства в форме таблицы истинности;

2. На основе таблицы истинности, или при помощи минимизации методом карт Карно, построить математическую модель в алгебраической форме - булева уравнения или системы уравнений;

3. Если технически необходимо, то привести уравнение или систему уравнений к базису, например И-НЕ или ИЛИ-НЕ;

4. На основе математической модели в форме булева уравнения или системы уравнений синтезировать схему;

Можно выполнить имитационную сборку и анализ правильности работы схемы с помощью программного обеспечения (ПО).

Есть множество приложений позволяющих изучить работу логических элементов и схем. Автор рекомендует использовать для учебных целей программные продукты: Atanua (англоязычная версия), или Logisim (имеется русскоязычная версия), обе программы обладают интуитивно понятным интерфейсом.

Стоит сказать, что в ПО Atanua инверсию с помощью элементов И-НЕ, ИЛИ-НЕ нужно производить подавая сигнал только на всех входа (а не на один, как в Logisim). Logisim является бесплатным продуктом по крайней мере при пользовании в некоммерческих целях. Автор, несмотря на некоторые исключения, не нашел серьезных ошибок, которые могут привести к неправильному результату работы имитируемой цифровой схемы, что позволяет использовать оба продукта в личных учебных целях. В следующей главе не будут прилагаться скриншоты имитации работы разработанных схем.

Глава 2. Проектирование комбинационных цифровых устройств

2.1 Мультиплексор

Мультиплексор - это устройство передающие (подключающие) сигнал одного из входов на выход под управлением входа управления (входов управления).

Условное графическое обозначение (УГО) мультиплексора «2 в 1» представлено в форме «черного ящика» (рис.29):

«А» - вход управления (адресный вход)

«x0» ч «x1» - информационные входы

«y» - информационный выход

Рис. 29. УГО мультиплексора на два входа

В зависимости от количества входов мультиплексоры называются «2 в 1», «4 в 1», «8 в 1» и т.д.

Логика работы простейшего мультиплексора «2 в 1» следующая:

1. Если на вход А подать «0», то мультиплексор логически соединяет вход x0 с выходом y, и на выходе y появится сигнал x0 (независимо от того что находится в этот момент на входе x1). Логическая коммутация показана пунктирной линией (рис. 30):

Рис.30. Логика работы MUX при подачи на входе управления «0»

2. Если на вход А подать «1», то мультиплексор логически соединяет вход x1 с выходом y, и на выходе y появится сигнал поданный на вход x1 (в независимости от того что находится в этот момент на входе x0). Логическая коммутация показана пунктирной линией (рис. 31):

Рис. 31. Логика работы MUX при подачи на вход управления «1»

Соответствие может быть реализовано включением светодиода по выходу y и установлением на входе А уровня сигнала «1», на входе x1 уровня сигнала «1» (рис. 32).

Рис. 32. Логика работы мультиплексора

Выполним проектирование цифрового устройства мультиплексор «2 в 1» используя:

- различные логические элементы;

- только элементы 2И-НЕ;

- только элементы 2ИЛИ-НЕ.

Этап 1. Зададим математическую модель в форме таблицы истинности.

Построим таблицу истинности исходя из логики работы мультиплексора «2 в 1» описанной выше (табл. 14) .

Таблица 14 - Таблица истинности мультиплексора «2 в 1»

A

x0

x1

y

0

0

-

0

0

1

-

1

1

-

0

0

1

-

1

1

Первые три столбца представляют собой возможные комбинации уровня входных сигналов. Заметим, что первые две строчки определяют комбинацию сигналов при наличии на входе управления уровня сигнала «0», (при этом информационный вход x1 не задействован).

Последние две стройки, наоборот, определяют состояние устройства при наличии на входе управления уровня сигнала «1».

Последний столбец показывает значение логического уровня на выходе с учетом заданных входных сигналов. Так, например, третья строчка (1 - 0 0) означает следующие: на вход управления подан сигнал «1», на вход x1 подан логический «0», вход x0 не играет роли, значит, на выходе y появится сигнал входа x1, т.е. «0».

Этап 2. Построение булевых уравнений.

На основе таблицы истинности 13 построим математическую модель в алгебраической форме булевых уравнений.

Выделим те строки, при которых y принимает значение «1»: строки 3 и 5. Пусть строке 3 соответствует уравнение (минтерм) y1, а строке 5 уравнение y2.

Булево уравнение будет иметь вид:

где оператор «v» - дизъюнкция, логическое «ИЛИ».

Строка 3: Вход A имеет значение «0», значит, проставляем инверсию над А, x0 имеют значения «1», вход x1 не задействован (не указываем в уравнении для этой строки). Таким образом, для строки 3 уравнение примет следующий вид .

Строка 5: Вход A имеет значение «1», инверсию над А не ставим, вход x0 не задействован, x1 имеют значения «1» инверсия не ставится. Итак, для строки 5 уравнение примет следующий вид .

Составим для строки № 3 и № 5 (где y имеет уровень сигнала «1») таблицу значений «минтермов» (табл.15).

Таблица 15 - Таблица значений «минтермов»

№ строки

3

5

уравнения

x0

Ax1

«Минтерм» (термин булевой алгебры) - операция логического умножения входных сигналов для строки, где у =1 при условии, что уровень логической «1» принят за «истину».

Тогда булево уравнение запишем в виде:

Полученное уравнение представлено в дизъюнктивной нормальной форме (ДНФ) и является минимальным.

Этап 3. Синтез схемы мультиплексора «2 в 1».

Исходя из полученного булевого уравнения, построение схемы потребует применения следующих элементов:

- инвертора, для получения инверсии сигнала управления A;

- два элемента И, для получения конъюнкций между соответствующими сигналами минтермов ;

- один элемент ИЛИ, для объединения минтермов в единое булево уравнение.

Составим описанную выше схему (рис. 33).

Рис. 33. Синтез схемы мультиплексора «2 в 1»

Рассмотрим синтез схемы выполненный на элементах 2И-НЕ. Для этого приведем уравнение к базису «2И-НЕ».

Поставим над всем уравнением двойную инверсию:

y=

Преобразуем данное уравнение, на основе законов булевой алгебры:

По итоговому уравнению синтезируем схему мультиплексора (рис. 34).

Рис. 34. Схема мультиплексора «2 в 1» на элементах 2И-НЕ

Выполним синтез схемы на элементах 2ИЛИ-НЕ. Для этого приведем уравнение к базису «2ИЛИ-НЕ».

Поставим над всем уравнением и над каждым выражением конъюнкций в дизъюнкции двойную инверсию:

y=

Преобразуем данное уравнение, на основе законов булевой алгебры:

По итоговому уравнению синтезируем схему мультиплексора (рис. 35).

Рис. 35. Схема мультиплексора «2 в 1» на элементах 2ИЛИ-НЕ

УГО мультиплексора «1 в 4» показан на рис. 36.

Рис. 36. Схема мультиплексора «2 в 1» на элементах 2ИЛИ-НЕ

Отметим, что здесь присутствуют уже два входа управления, что даёт возможность управления 22 = 4 входами. Поясним это, составив таблицу истинности (табл. 16).

Таблица 16 - Таблица истинности мультиплексора «1 в 4»

A0

A1

x0

x1

x2

x3

y

0

0

0

-

-

-

0

0

0

1

-

-

-

1

1

0

-

0

-

-

0

1

0

-

1

-

-

1

0

1

-

-

0

-

0

0

1

-

-

1

-

1

1

1

-

-

-

0

0

1

1

-

-

-

1

1

Составим булево уравнение для описанного мультиплексора:

По полученному выражению синтезируем схему (рис. 37).

Рис. 37. Схема мультиплексора «4 в 1»

Для составления схемы на элементах 2И-НЕ, необходимо провести следующие преобразования:

Синтезируем схему по полученному уравнению (рис. 38).

Рис. 38. Схема мультиплексора «4 в 1» на элементах 2И-НЕ

Для синтеза схемы на элементах 2ИЛИ-НЕ необходимо аналогично произвести описанные выше действия.

2.2 Демультиплексор

Демультиплексор - это электронное устройство, предназначенное для переключения сигнала с одного информационного входа на один из информационных выходов под управлением управляющего сигнала. Демультиплексор выполняет операции, обратные операциям мультиплексора. Если между числом информационный входов n и числом входов управления m выполняется соотношение n=2m, то демультиплексор является полным, в противном случаи демультиплесор является неполным.

Условное графическое обозначения демультиплексора (УГО) «один в четыре» представлено в форме модели типа «черный ящик» (рис.39):

«А0» ч «А1» - входы управления

«x» ч информационный вход

«y0» ч «y3» - информационные выходы

Рис.39. УГО полного демультиплексора «1 в 4»

В рассматриваемом демультиплексоре два входа управления, значит возможно четыре комбинации (00, 01, 10, 11), благодаря которым возможно передать сигнал с входа на один из четырех выходов.

В зависимости от количества выходов демультиплексоры называют «один в два», «один в три», «один в четыре» и т.д.

Проиллюстрируем логику работы демультиплексора:

Если на входы управления А0 ч A1 подать комбинацию сигналов «00», то демультиплексор логически соединяет вход x с выходом y0, и на выходе y0 появится сигнал поданный на вход x, при этом на выходах y1,y2, y3 будет выставлен логический уровень «0». Логическая коммутацию показана пунктирной линией (рис.40):

Рис.40. Логика работы DMS при подачи на входы управления кода «00»

Если на входы управления А0 ч A1 подать комбинацию сигналов «01», то демультиплексор логически соединяет вход x с выходом y1, и на выходе y1 появится сигнал поданный на вход x, при этом на выходах y0, y2, y3 будет выставлен логический уровень «0». Логическая коммутация показана пунктирной линией (рис. 41):

Рис. 41. Логика работы DMS при подачи на входы управления кода «01»

Если на входы управления А0 ч A1 подать комбинацию сигналов «10» (рис. 42).

Рис. 42. Логика работы DMS при подачи на входы управления кода «10»

И, наконец, если на входы управления А1 ч A2 подать комбинацию сигналов «11» (рис. 43).

Рис. 43. Логика работы DMS при подачи на входы управления кода «11»

Рассмотрим пример синтеза полного демультиплексора «один в два» используя (рис.44):

- различные логические элементы;

- элемент «2И-НЕ»;

- элемент «2ИЛИ-НЕ».

Рис. 44. УГО полного демультиплексора

Зададим математическую модель в форме таблицы истинности (табл.17).

Таблица 17 - Таблица истинности демультиплексора «2 в 1»

x

A0

y0

y1

0

0

0

-

0

1

-

0

1

0

1

-

1

1

-

1

Первые два столбца представляют собой все возможные комбинации уровня входных сигналов. Заметим, что вторая и третья строки показывают работу устройства при подачи на информационный вход уровня сигнала «0», а последние две строки при подачи на информационный вход уровня «1».

Последние два столбца показывают значение логического уровня на выходе с учетом заданных входных сигналов.

Например, четвертая строка (1 1 - 1) означает следующие: на вход управления подан сигнал логического уровня «1», на вход «x» подан «1», на информационном выходе «y1» установился логический уровень сигнала «1», что можно наблюдать включением светодиода (рис. 45).

Рис. 45. Логика работы DMS

На основе таблицы 17 истинности построим математическую модель в алгебраической форме булевых уравнений (системы ДНФ уравнений).

Выделим те строчки, при которых хотя бы на одном из информационном выходе имеется значение «1»: строки 4, 5 (задействованы все два выхода). Стоит заметить, что первые строчки принимают значение «0» и задействованы не будут.

Теперь, исходя из таблицы истинности, необходимо составить систему уравнений для переменных y0 и y1 каждая из которых принимает значение «1» в 4,5 строках соответственно.

В четвертой строки y0 принимает значение «1». В этой строке вход x имеет значение «1», инверсии нет, вход A0 имеет значения «0», следовательно, необходимо над ним проставить инверсию. Для строки 4 уравнение примет следующий вид x .

По аналогии составим таблицу значений «минтермов» (операция логического умножения входных сигналов, при условии «1») (табл. 18):

Таблица 18 - Таблица значений «минтермов»

№ строки

4(y0)

5(y1)

уравнения

x

x A0

Тогда система булевых уравнений предстанет в виде двух уравнений:

Синтезируем схему (рис. 46).

Рис. 46. Синтез схемы DMS «1 в 2»

Рассмотрим синтез схемы демультиплексора в других базисах.

Приведем систему ДНФ уравнений к базису «2И-НЕ». Дважды проинвертируем каждое из уравнений системы.

Преобразуем данное уравнение, на основе законов булевой алгебры:

По итоговой системе уравнений синтезируем схему демультиплексора (рис.47).

Рис. 47. Схема демультиплексора «1 в 2» выполненный на элементах И-НЕ

Приведем систему ДНФ уравнений к базису «2ИЛИ-НЕ». Дважды проинвертируем каждое из уравнений системы.

Преобразуем данное уравнение, на основе законов булевой алгебры:

По итоговой системе уравнений синтезируем схему демультиплексора (рис.48).

Рис. 48. Схема демультиплексора «1 в 2» выполненный на элементах ИЛИ-НЕ

Опишем демультиплексор, показанный на рис.39, таблицей истинности 19.

Таблица 19 - ТИ мультиплексора «1 в 4»

A0

A1

x

y0

y1

y2

y3

0

0

0

0

-

-

-

0

0

1

1

-

-

-

1

0

0

-

0

-

-

1

0

1

-

1

-

-

0

1

0

-

-

0

-

0

1

1

-

-

1

-

1

1

0

-

-

-

0

1

1

1

-

-

-

1

Составим систему уравнений из ТИ. 19, выделив те строки, в которых выходы принимают значение логической единицы.

Составим схему по полученной системе уравнений (рис. 49).

Рис. 49. Схема демультиплексора «1 в 4»

Синтезируем схему на элементах 2И-НЕ, для чего переведем систему уравнений в базис 2И-НЕ.

Синтезируем схему демультиплексора «1 в 4» на элементах 2И-НЕ (рис. 50).

Рис. 50. Схема демультиплексора «1 в 4» на элементах 2И-НЕ

2.3 Шифратор

Шифратор (здесь и далее двоично-десятичный) - это электронное устройство, предназначенное для преобразования кода десятичных символов в двоичный код. В полном шифраторе выполняется равенство между 2m = n, где m - количество выходов, n - входов, в противном случаи шифратор является неполным.

Условное графическое обозначение (УГО) шифратора «один из восьми» представлено в форме модели типа «черный ящик» (рис. 51).

«x0» ч «x7» - управляющие входы

«y0» ч «y3» - информационный выходы

Рис.51. УГО шифратора «один из восьми»

Шифратор преобразует входной управляющий сигнал в кодовую (двоичную) комбинацию на выходе.

Логику работы шифратора рассмотрим на примере:

Если на управляющем входе x3 выставлен логический уровень сигнала «1»: на входе шифратора «x0» ч «x7» появится следующий позиционный код «00010000», на выходе «y0» ч «y3» появится соответствующий двоичный код - «1100». Соответствие может быть реализовано включением светодиода (рис. 52).

Рис.52. Логика работы шифратора

Рассмотрим шифратор, изображенный на рис. 53:

Рис. 53. УГО шифратора «один из четырех»

Составим математическую модель в форме таблицы истинности работы шифратора «один из четырех» (табл. 20).

Таблица 20 - Таблица истинности шифратора

Позиционный код

Двоичный код

3

2

1

0

21

20

x3

x2

x1

x0

y1

y0

0

0

0

1

0

0

0

0

1

0

0

1

0

1

0

0

1

0

1

0

0

0

1

1

Имеется четыре входа и два выхода.

Последние два столбца...


Подобные документы

  • Канонические формы представления логической функций. Сущность методов минимизации Квайна, Квайна-Мак-Класки и карт Вейча, получение дизъюнктивной и конъюнктивной форм. Модели цифрового комбинационного устройства с помощью программы Electronics Workbench.

    курсовая работа [416,4 K], добавлен 28.11.2009

  • Основные инструменты анализа и синтеза цифровых устройств. Синтез комбинационного устройства, реализующего заданную функцию. Минимизация переключательных функций с помощью карт Карно. Общие правила минимизации функций. Дешифратор базиса Шеффера.

    контрольная работа [540,0 K], добавлен 09.01.2014

  • Разработка топологии базисных элементов и цифрового комбинационного устройства в целом в программе Microwind. Моделирование базисных логических элементов и функциональная схема демультиплексора. Схемотехническое проектирование цифрового устройства.

    курсовая работа [1,7 M], добавлен 27.02.2012

  • Выполнение синтеза логической схемы цифрового устройства по заданным условиям его работы в виде таблицы истинности. Получение минимизированных функций СДНФ, СКНФ с использованием карт Карно. Выбор микросхем для технической реализации полученных функций.

    контрольная работа [735,9 K], добавлен 10.06.2011

  • Структурная схема цифрового устройства. Проектирование одновибратора на интегральных таймерах. Минимизация логической функции цифрового устройства по методу Квайна и по методу карт Карно. Преобразование двоичного числа. Расчет номиналов сопротивлений.

    курсовая работа [319,2 K], добавлен 31.05.2012

  • Разработка функциональной и принципиальной схем управляющего устройства в виде цифрового автомата. Синтез синхронного счётчика. Минимизация функций входов для триггеров с помощью карт Карно. Синтез дешифратора и тактового генератора, функции выхода.

    курсовая работа [1,5 M], добавлен 23.01.2011

  • Выполнение синтеза логической схемы цифрового устройства, имеющего 4 входа и 2 выхода. Составление логических уравнений для каждого выхода по таблице истинности. Минимизация функций с помощью карт Карно, выбор оптимального варианта; принципиальная схема.

    практическая работа [24,0 K], добавлен 27.01.2010

  • Логические основы синтеза цифровых устройства. Понятия и определения функций алгебры логики. Минимизация логических функций с помощью алгебраических преобразований, карт Карно. Построение аналитической модели устройства. Анализ и выбор элементной базы.

    контрольная работа [696,4 K], добавлен 19.10.2011

  • Методика составления и минимизации логических функций. Синтез комбинационного устройства на логических элементах и мультиплексоре. Логическая функция в виде СДНФ, преобразование функции в минимальный базис ИЛИ-НЕ. Проектирование устройства с памятью.

    курсовая работа [964,1 K], добавлен 27.09.2012

  • Структурная схема логического (комбинационного) блока, реализующего функции F1, F2, F3. Карта Карно, построение схемы электрической функциональной. Реализация функции F1 на мультиплексоре. Компьютерное моделирование, компоненты Electronics Workbench.

    курсовая работа [831,7 K], добавлен 23.09.2013

  • Дизъюнктивная и конъюнктивная совершенные нормальные формы представления логических функций. Способы их задания: табличный, аналитический, цифровой, координатный. Алгоритм минимизации ЛФ при помощи карт Карно. Построение и моделирование логической схемы.

    лабораторная работа [508,9 K], добавлен 23.11.2014

  • Проектирование цифрового автомата, формирующего четырехразрядный код на заданном числе тактов. Общая схема синтеза пересчетного устройства, векторная диаграмма работы. Разработка входного комбинационного устройства. Микросхема кодопреобразоателя.

    курсовая работа [2,2 M], добавлен 05.12.2012

  • Анализ комбинационной схемы, минимизация логической схемы и синтез комбинационного устройства в заданных базисах логических элементов И-НЕ, ИЛИ-НЕ. Разработка и применение модуля для ПЛИС Spartan6, реализующего функционирование соответствующих схем.

    курсовая работа [1,5 M], добавлен 12.02.2022

  • Основные этапы проектирования контрольной аппаратуры. Анализ цифрового вычислительного комплекса. Разработка устройства контроля ячеек постоянного запоминающего устройства с использованием ЭВМ. Описание функциональной схемы устройства сопряжения.

    дипломная работа [1,9 M], добавлен 24.09.2012

  • Проектирование цифрового устройства преобразующего входные комбинации двоичного кода в управляющие сигналы семисегментного индикатора с помощью метода карт Карно. Построение прибора в программе "Electronics Workbench 5.12" на элементах "И", "ИЛИ", "НЕ".

    курсовая работа [1,1 M], добавлен 21.02.2016

  • Основные аксиомы, теоремы, тождества алгебры логики. Переключательные функции. Расчет комбинационной логической схемы по заданной переключательной функции. Минимизация переключательных функций с помощью карт Карно. Скобочные формы логических уравнений.

    реферат [1,2 M], добавлен 24.12.2010

  • Описание функциональной схемы цифрового устройства для реализации микроопераций. Выбор элементной базы для построения принципиальной электрической схемы цифрового устройства. Разработка и описание алгоритма умножения, сложения, логической операции.

    курсовая работа [684,0 K], добавлен 28.05.2013

  • Проектирование устройства преобразования цифровой информации в аналоговую и наоборот для цифрового магнитофона. Описание используемых интегральных микросхем. Разработка структурной и принципиальной схемы цифрового канала звукозаписи без кодера и декодера.

    курсовая работа [1,8 M], добавлен 18.10.2010

  • Цифровые автоматы - логические устройства, в которых помимо логических элементов имеются элементы памяти. Разработка микропрограммного цифрового автомата на основе микросхем малой степени интеграции. Синтез преобразователя кода и цифровая индикация.

    курсовая работа [2,7 M], добавлен 26.05.2012

  • Структурная схема вольтметра. Расчет основных параметров. Схемотехника узлов цифрового вольтметра. Генератор тактовых импульсов. Схема устройства формирования импульсов. Цифро-аналоговый преобразователь, устройство сравнения. Схема счета и индикации.

    курсовая работа [2,3 M], добавлен 18.06.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.