Методологія інженерного проектування

Рівні інженерного проектування і їхнє математичне забезпечення. Математичний опис електронних об'єктів на різних рівнях проектування. Основні етапи автоматизації системного, функціонально-логічного, схемотехнічного та конструкторського проектування.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курс лекций
Язык украинский
Дата добавления 21.07.2017
Размер файла 795,2 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Модуль рівня 1- це або ІС, що містить кілька логічних елементів типу І, АБО, НІ, або фрагмент ВІС-тригер, регістр. Модуль рівня 2 поєднує на одній друкованій платі кілька десятків ІС або декілька ВІС і є типовим елементом заміни (ТЕЗ), що використовується для оперативної заміни при виникненні несправностей у пристрої. Модуль рівня 3 об'єднує ТЕЗ-и в блоки, що конструктивно можуть бути оформлені у вигляді касети з панеллю, що має провідниковий або друкований монтаж.

Рисунок 6.1 - Ієрархія конструктивних модулів

Модуль рівня 4 представляє окремий пристрій і містить ряд касет, конструктивно об'єднаних у стійку або шафу, у якому міжпанельне з'єднання здійснюються джгутовим монтажем.

З'єднання в РЕА в значній мірі визначають їхні основні технічні параметри, такі як вага, об'єм, надійність, швидкодія. Наприклад, при переході від вузлів нижчого конструктивного рівня до вищого (ІС -> друковані плати -> панелі) відбуваються значні втрати щільності компонування елементів, що збільшує час поширення електричних сигналів.

Системи автоматизованого конструкторського (технічного) проектування в першу чергу були реалізовані для проектування двошарових і багатошарових друкованих плат, а також для випуску технічної документації, до складу якої входять електричні схеми і складові креслення, таблиці ланцюгів і специфікаційні таблиці, технологічна документація на машинних носіях. Технологічна документація у виді, наприклад, перфострічок являє собою керуючі програми автоматів для виготовлення фотошаблонів, збірки, пайки, свердління монтажних отворів, контролю монтажу, а потім і тестового контролю логічного функціонування елементів.

При технічному проектуванні перевага віддається автоматичним системам, коли по опису схеми забезпечується проектування і випуск документації без участі людини. Це значно знижує імовірність внесення помилок і підвищує продуктивність праці проектувальників, тому що ПК передаються стомлюючі рутинні операції.

Типові в змістовному плані задачі конструювання РЕА, що потрібно вирішувати для пристроїв як різного рівня складності, так і різного ступеня інтеграції, мають загальну природу з погляду автоматизації проектування. Такими типовими задачами є компоновка елементів в блоки, розміщення їх по конкретним установчим місцям і трасування монтажних з'єднань. Ці задачі є оптимізаційними.

Задачі конструкторського проектування мають по своїй сутності комбінаторний характер. РЕА, що проектується, при деталізації її до рівня компонентів має число елементів N=102 - 107. Тому рішення комбінаторних задач оптимального проектування методами, що використовують прямий перебір N! варіантів, практично неприйнятно.

З метою скорочення часових витрат, що у реальних задачах конструкторського проектування дуже великі, використовуються різні способи скорочення перебору варіантів. Внаслідок цього в автоматизованому конструкторському проектуванні найбільше поширення одержали евристичні алгоритми, призначені для спеціалізованих методів, що враховують, як правило, особливості технології виготовлення схем. В евристичних алгоритмах також використовуються конструктивні й ітераційні процедури.

Очевидно, що саме виділення трьох етапів конструкторського проектування-компоновка, розміщення і трасування, спрямовано на зниження розмірності загальної задачі. Декомпозиція задачі виконується таким чином, що спочатку здійснюється компонування шляхом оптимального угрупування функціональних вузлів, потім розміщення компонентів виділених вузлів у їхньому монтажному просторі з урахуванням критеріїв, що відображають оптимальність наступного етапу -- трасування міжз'єднань. Остання задача - трасування -- є практично найбільш важливою, але і дотепер вона не має автоматичного рішення. Після роботи програм автоматичного трасування залишається порядку 5 - 10% нерозведених з'єднань, які потім допрацьовуються конструкторами.

Однією з найбільш розповсюджених систем автоматизованого конструкторського проектування є САПР P-CAD. Вона забезпечує створення принципових схем багатошарових друкованих плат, розміщення компонентів на друкованій платі та трасування з'єднань, виведення креслень на плотер, фотопобудовник, вивід даних на станки з числовим програмним керуванням.

Але крім цих задач, які в найбільшій мірі вирішуються методами автоматизованого проектування, є ще задача оцінки теплових режимів конструкцій, яка є дуже складною через труднощі отримання адекватної математичної моделі теплових процесів. Теплове моделювання конструкції дозволяє розрахувати температурні допуски на параметри елементів в залежності від зовнішнього чи внутрішнього температурного впливу.

6.2 Конструкторське проектування систем на ПЛІС

За традиційних засобах проектування вхідною інформацією для конструкторського проектування є принципові електричні схеми пристроїв системи, до складу яких входять конструктивно закінчені елементи (резистори, конденсатори, транзистори, ІМС і т.д.), перелік елементів та зв'язків між ними, а також вимоги до захищеності від перешкод, теплообміну, зручності тестування та ремонту і т.д.

Часто система або блок розбивається на плати вже на системному рівні. На конструкторському рівні така компоновка сприймається як початкова. Далі елементи розміщуються на платах, а плати в монтажному просторі. Після чого виконується їх з'єднання (трасування) елементів і плат. Після кожного етапу проводиться верифікація проекту шляхом відповідного моделювання.

Конструкторське проектування систем на ПЛІС має свою специфіку. Якщо за традиційним засобом проектування систем на окремих елементах різні етапи проектування виконувались зазвичай різними САПР, то всі рівні проектування систем на ПЛІС виконуються в рамках однієї сучасної САПР. При чому кожна фірма розробник ПЛІС має свою САПР, яка найбільш пристосована до цих ПЛІС.

Після системного проектування опис структури системи вводиться до САПР. САПР контролює проект і виконує на базі своїх бібліотек синтез системи на рівні регістрових передач, а потім і на вентильному рівні. Одночасно з синтезом виконується перевірка функціонування системи на рівні регістрових передач, а на вентильному рівні - з урахуванням заданих часових обмежень. Значення затримок вибираються на основі середньостатистичних даних фірми. Процес синтезу і контролю ітераційний.

Конструкторське проектування починається з компоновки системи в блоки. Процес компоновки накладає синтезовані компоненти на ресурси ПЛІС. Результатом є початкове розрізання системи на частини і складання списку з'єднань.

Далі виконується розкладка вентилів для ПЛІС типу FPGA або стандартних блоків для ПЛІС типу MPGA в потрібній конфігурації і з'єднання їх відповідними трасами з урахуванням фізичних обмежень в ПЛІС. Цей процес називається розміщенням і трасуванням. Тут у проектувальника є можливість задати додаткові обмеження на розміщення компонентів в кристалі ПЛІС і призначення виводів ПЛІС для зовнішніх входів и виходів проекту. По їх результатах виконується більш точний розрахунок часових затримок, обумовлених довжиною з'єднань, величинами навантажень та іншими факторами. З урахуванням цих затримок проводиться перевірка часових співвідношень шляхом відповідного моделювання системи. Розроблюються додаткові тести. Використовуються ті ж самі умови тестування, що і при функціональній верифікації..

Якщо результати моделювання не відповідають часовим співвідношенням в системі, то треба повернутись до попередніх етапів зі зміною підходів, а інколи навіть до перегляду проекту в цілому чи до заміни ПЛІС.

Якщо результати моделювання задовольняють проектувальника, то формується файл конфігурації, який завантажує систему в ПЛІС типу FPGA (імплементація ПЛІС), або передаються необхідні дані для виготовлення фотошаблонів для напівзаказних ПЛІС типу MPGA. Після чого проводиться остаточне тестування вже реалізованої апаратно-цифрової системи. На цьому проектування системи закінчується.

Одним із засобів покращення методології проектування схем класу MPGA є організація натурних експериментів на прототипних системах (платах). Це додатковий етап значно збільшує вірогідність випуску бездефектної продукції. Прототипна плата це плата, на якій система на MPGA замінюється цією ж системою на схемах програмованої логіки типу FPGA. При цьому забезпечується максимальна схожість між характеристиками прототипу і оригінала. Для чого опис системи задається на рівні регістрових передач, а спосіб завдання інформації повинен бути аналогічним. Широкий спектр прототипних плат зі схемами програмованої логіки і додатковою апаратурою (перед усім ІМС швидкодіючих ОЗП) виготовляються різними фірмами такими, як Altera, Xilinx та інші, і можуть бути використані без додаткових витрат.

6.3 Алгоритми компоновки

Задача компонування елементів пристрою в блоки звичайно ставиться як задача оптимальної розбивки (розрізування) пристрою, для якого задана функціональна, логічна або принципова схема. Це значить, що відомо набір елементів і їхні з'єднання в майбутній апаратурі. Необхідно розбити задану множину елементів А на множину R груп елементів Ai, таких, що

де N-число груп елементів (блоків, панелей або ТЭЗ-ів у залежності від рівня, на якому здійснюється розбивка).

Потрібно, щоб розбивка R була по своїй сутності оптимальною з точки зору реалізуємості, технологічності, надійності, ремонтоздатності, вартості виробництва і т.д. Обмеження можуть трансформуватися в критерії оптимізації і навпаки, у результаті чого існує цілий ряд різних формулювань задачі компонування.

При формулюванні задач компонування використовуються наступні умови (критерії оптимізації або обмеження).

Кожен блок містить не більш Р компонентів і не більш Q виводів.

Кожен блок розміщується в заданому об'ємі Vi і має довжину зовнішніх зв'язків не більшу Ei (це модифікація першої вимоги).

Загальне число з'єднань між N блоками мінімально.

Число блоків N мінімальне.

Блоки вибираються з числа стандартних, що складають бібліотеку еталонних елементів (задача покриття схеми сукупністю елементів стандартного набору).

Число типів використовуваних блоків мінімально (це задача типізації, рішення якої спрямоване на прискорення проектування, здешевлення виробництва, зручність обслуговування в експлуатації).

Число виводів у кожнім блоці мінімально (ця вимога спрямована на мінімізацію найбільшої затримки проходження сигналу через систему в припущенні, що кожне зовнішнє з'єднання вносить істотну затримку, а внутрішні з'єднання вносять малу затримку, якою можна знехтувати).

Виконуються задані обмеження на затримки поширення сигналів.

Забезпечується зручність тестування, доступність елементів у блоках і низька вартість ремонту.

Задача компонування (оптимальної розбивки) принципово не може мати загального алгоритму автоматизованого проектування через великий розмір і різноманітність умов компонування. Складність цієї задачі також у труднощах формалізації сукупності критеріїв, частина яких є немонотонними, як і самі конструктивні параметри.

6.4 Алгоритми розміщення

Після компонування елементів РЕА потрібно здійснити для кожного стандартного або унікального блоку оптимальне розміщення елементів у регулярному або нерегулярному монтажному просторі. У загальному випадку потрібно знайти на множині позицій монтажного простору блока Ai таке розміщення компонентів {T1,T2, ... , Tn}i, що належать цьому блоку, при якому досягається мінімум заданого критерію якості розміщення.

Особливістю критеріїв, використовуваних у задачі розміщення, є їхній евристичний характер, тому що усі вони побічно відображають основну мету розміщення -- максимальне спрощення наступного процесу трасування і мінімізацію числа непроведених трас. Крім того, критерії якості розміщення враховують такі фактори, як часові затримки, виникнення перешкод, ослаблення сигналу, тощо .

У задачах розміщення використовуються наступні критерії якості.

1. Мінімальна сумарна довжина провідників.

2. Мінімальна довжина провідників, що з'єднують дві найбільш віддалені точки кожної траси.

3. Мінімальна довжина провідників, що з'єднують джерело сигналу з найбільш віддаленим навантаженням.

4. Мінімальна сумарна площа зон реалізації всіх трас (забезпечує мінімізацію часових затримок між компонентами).

5. Мінімальне число провідників, довжина яких перевищує задану величину.

6. Мінімальна найбільша довжина провідників.

7. Максимально близьке розміщення компонентів, що мають

найбільше число загальних трас, з урахуванням припустимої відстані між елементами.

6.5 Алгоритми трасування

Задача трасування полягає в побудові з'єднань між виводами розміщених у заданому монтажному просторі елементів відповідно до принципової схеми пристрою при обліку конструктивних обмежень. Звичайно траса формується у вигляді множини зв'язаних відрізків, що з'єднують точки електричного кола. При вирішенні задачі трасування використовуються наступні критерії й умови.

Мінімальна сумарна довжина з'єднань.

Мінімальне число з'єднань, довжина яких перевищує задане значення.

Мінімальне число переходів між шарами.

Мінімальне число шарів.

Мінімальні паразитні перешкоди.

Максимальна віддаленість трас з'єднань.

Число шарів не перевищує заданого значення.

Довжина з'єднання не перевищує заданого значення.

Рівень перешкод, що наводяться в кожній трасі, не перевищує припустимого значення.

10. Число з'єднань (пайок) до одного виводу не перевищує заданого значення.

У загальній проблемі автоматизації конструкторського проектування трасування з'єднань -- це найбільш важка задача, у якій простежується особливо тісний зв'язок з конструктивно-технологічною реалізацією. Вихідною інформацією в задачі трасування є як список трас запроектованої схеми, так і параметри конструкцій елементів (форма і гПКетричні розміри) і монтажного простору (припустимі відстані, форма з'єднань, число перетинань і т.п.), а також дані по розміщенню елементів.

7. ПРИНЦИПИ ПОБУДОВИ САПР

7.1 Загальні положення

Сучасна САПР являє собою складну програмно-інформаційно-апаратну людино-машинну систему, побудовану за ієрархічним

принципом, так що кожен рівень ієрархії відповідає визначеному рівню проектування -- структурному, функціональному і т.д. (див. табл.7.1).

Розробка САПР займає десятки і сотні років праці висококваліфікованих фахівців, тому САПР повинна максимально задовольняти вимозі моральної довговічності. У зв'язку з цим САПР будується по агрегатному принципі, тобто як відкрита і система, що розвивається, з максимальним використанням уніфікованих модулів (окремих програмних підсистем, технічних засобів). Агрегатний принцип побудови дозволяє створити на основі базового варіанта САПР будь-яку необхідну користувачеві конфігурацію шляхом об'єднання відповідних програмних і технічних модулів.

Вимога уніфікації програмних модулів означає їх повну інформаційну погодженість, що виключає необхідність ручної переробки загальних для декількох модулів масивів інформації. Уніфікація технічних модулів означає повну погодженість стандартів на передані ними сигнали (погодженість рівнів постійної напруги, амплітуд вихідних сигналів і рівнів спрацьовування, тимчасових співвідношень і т.д.). Для забезпечення погодженості програмних і технічних модулів часто використовується міжмодульний інтерфейс -- спеціальні програми для перекомпонування інформації (драйвери) і технічні пристрої для зміни параметрів сигналів (адаптери).

7.2 Класифікація САПР

У залежності від складності розв'язуваних задач існуючі САПР можна розділити на чотири типи.

1. Унікальні САПР, кожна з яких створюється спеціально для рішення якої-небудь однієї великої науково-технічної проблеми. Так, у свій час була створена унікальна САПР для проектування многопроцессорного обчислювального комплексу «Ельбрус» з високою продуктивністю.

2. Галузеві САПР, що вирішують типові задачі галузі. Прикладом може служити САПР «ПРАМ», призначена для проектування РЕА.

3. САПР окремих підприємств, орієнтовані на рішення типових задач підприємства, наприклад задач проектування СВЧ-пристроїв або пристроїв обчислювальної техніки.

4. Міні-САПР для рішення окремих задач проектування, наприклад електричного розрахунку схем або трасування друкованих плат.

Перші три типи відносяться до багатофункціональних САПР колективного користування і реалізуються по двоступінчастій ієрархічній схемі -- на верхньому рівні знаходиться велика ПК, на нижньому -- периферійні малі ПК і АРМ. Міні-САПР реалізуються звичайно на малих, середніх ПК і ППК, можуть експлуатуватися автономно і відносяться до найбільш розповсюдженому зараз типу САПР.

7.3 Види забезпечення САПР

Сучасна САПР -- складний комплекс математичних, програмних, технічних і інших засобів. Прийнято виділяти в складі САПР наступні основні частини:

математичне забезпечення;

лінгвістичне забезпечення (мовні засоби);

програмне забезпечення;

інформаційне забезпечення;

технічне забезпечення;

організаційне забезпечення;

методичне забезпечення.

Нижче докладно аналізується кожна з цих частин.

7.3.1 Математичне забезпечення

Класифікація основних алгоритмів. Математичне забезпечення включає теорію, методи, способи й алгоритми для організації обчислень у САПР. У складі математичного забезпечення можна виділити наступні типи алгоритмів.

1.Алгоритми, рішення загальних задач обчислювальної математики: рішення нелінійних рівнянь f(x)=0, лінійних рівнянь Ах=В, звичайних диференціальних рівнянь dx/dt=f(x(t),t) і т.д. Звичайно ці алгоритми в зв'язку з їхнім частим вживанням у задачах різного типу оформляються у виді стандартних програм, однак у САПР ці програми використовуються рідко через різні форми представлення вхідної інформації, обумовлених, зокрема, алгоритмами нижченаведеної групи.

2.Алгоритми пошуку й упорядкування інформації:

- алгоритми пошуку потрібного елемента або групи елементів у масиві даних; пошук можна вести різними способами: перебором, розподілом на частини, по дереву ознак і т.п.;

- алгоритми редагування інформації (перекодування, перекомпонування, коректування); одним із прикладів редагування є упакування інформації, наприклад запис матриці у виді сукупності одномірних масивів, що зберігають інформацію тільки про ненульові елементи матриці;

- алгоритми сортування інформації, тобто поділу її на групи відповідно до яких-небудь ознак.

Дана група алгоритмів хоча і відіграє роль сервісних, але багато в чому визначає обчислювальні витрати на рішення задач у САПР. Ці алгоритми має сенс застосовувати тільки при створенні програм багаторазового користування.

3. Алгоритми проблемної орієнтації, рішення задач, зв'язаних загальною науковою тематикою, наприклад алгоритми рішення статистичних задач (побудова гістограм, апроксимація гістограми теоретичним законом, обчислення статистичних характеристик і т.д.).

4. Алгоритми предметної орієнтації, формування і розрахунок математичних моделей об'єктів визначеного типу, наприклад електронних перемикальних схем, схем СВЧ і т.д. Ця група алгоритмів -- основна в САПР. Вони звичайно включають, як складові частини, алгоритми трьох попередніх груп.

5. Алгоритми рішення системних задач ПК: організація роботи операційних систем, диспетчеризації, керування програмами, даними і т.д.

Основні вимоги до алгоритмів. До алгоритмів, що використовуються у САПР, пред'являються наступні вимоги.

Висока алгоритмічна надійність, тобто гарантоване одержання правильного результату при будь-яких чисельних значеннях вхідних даних, значеннях параметрів у заданих діапазонах варіювання і для будь-яких видів функціональних залежностей у задачах даного класу.

Можливість формалізації, що обмежує застосування в САПР таких чисельних методів, принциповим моментом яких є мистецтво і досвід обчислювача.

Малі обчислювальні витрати при реалізації, причому в співвідношенні « пам'ять-час» у зв'язку зі швидким ростом обсягу оперативної пам'яті сучасних ПК основним стає зараз вимога зменшення часу рахунку.

Розумне співвідношення «точність-час» з урахуванням того, що незначне погіршення точності моделювання може істотно зменшити час моделювання.

Алгоритмічна сумісність, тобто погодженість і достатність вхідних і вихідних даних різних алгоритмів, що спільно працюють у складі однієї і тієї ж програми.

7.3.2 Лінгвістичне забезпечення

Мови, використовувані в САПР, можна розбити на дві групи: для програмування і для проектування.

Мови програмування призначені для написання текстів програм і можуть бути наступних типів.

Процедурно-орієнтовані мови призначені для рішення широкого класу задач. З цих мов найбільш розповсюджені Фортран, Си, С++, Паскаль та інші.

Проблемно-орієнтовані мови орієнтовані на рішення задач визначеного типу. Наприклад, ПРОЛОГ, ЛІСПС і т.д. Можна виділити групу мов, призначених для організації діалогу і текстової обробки інформації.

Машинно-орієнтовані мови, звичайно називаються асемблерами, дозволяють створювати програми, найбільш ефективні в плані обчислювальних витрат (пам'яті і часу рахунку).

Мови проектування можна розділити на три групи -- описові, моделюючі, діалогові. Їх називають також відповідно мовами структурного, процедурного і директивного типів.

Мова опису звичайно складається з трьох частин - опису об'єкта,

опису задачі й опису директив проектування.

В описі об'єкта входять опису окремих елементів кожне з яких звичайно має наступну структуру:

тип елемента, тип моделі елемента, параметри моделі елемента, топологічні зв'язки елемента.

Мова опису задачі включає наступну інформацію:

опис вихідних параметрів, що розраховуються, (тип параметра, рівні відліку, умови розрахунку і т.д.);

опис умов аналізу параметрів (тип варіюючих внутрішніх параметрів, крок і діапазон варіювання і т.д.);

опис умов оптимізації параметрів (відомості про варіюючі параметри, вихідні оптимізуємі параметри, обмеження, критерії оптимізації);

опис алгоритмів розрахунку, аналізу й оптимізації (типи алгоритмів і параметри, що визначають їхня швидкість, точність і надійність);

опис завдання на вивід результатів проектування (що виводити й у якому виді -- таблиця, графік, креслення; параметри вихідного документа -- крок друку, масштаб, діапазон і т.д.).

Мова опису директив на проектування в найпростішому випадку складається з перерахування режимів, у яких повинна послідовно працювати САПР. Хоча кожна директива, наприклад «Статика», «Частотний аналіз» і ін., викликає визначені дії, однак безпосередньо в самих директивах ніяких операторів дії немає, тому мова зберігає описовий характер.

Мови моделювання (процедурні мови) описують не тільки структуру і параметри об'єкта проектування, але й алгоритм, процедуру його функціонування, наприклад, процес передачі і перетворення сигналу від блоку до блоку.

Як правило, мови моделювання застосовуються тільки на верхніх рівнях проектування -- структурному і функціональному, коли алгоритми моделювання ще досить прості і доступні для опису мовою моделювання користувачеві-розроблювачеві РЕА, що не має високої кваліфікації в алгоритмізації і програмуванні.

Мови діалогу призначені для організації взаємодії користувача і САПР у процесі проектування. Розрізняють три типи діалогових мов: з ініціативою у користувача, з ініціативою в ПК і комбінований.

7.3.3 Інформаційне забезпечення

В інформаційне забезпечення САПР входять, по-перше, дані про типові елементи РЕА і їхні параметри, типові матеріали, типові фрагменти схем, по-друге, способи, алгоритми і програми, призначені для упорядкованого запису, збереження, переміщення і виводу цих даних. З другою частиною інформаційного забезпечення зв'язані такі поняття, як база даних (БД), система керування базою даних (СКБД) і банк даних .

База даних -- сукупність масивів даних, організованих таким чином, щоб забезпечити швидкий і зручний пошук будь-яких даних по запиті або їхньому переміщенні і коректуванні.

Система керування базою даних -- сукупність мовних засобів і програм, призначених для пошуку потрібних даних, їх переміщення і модифікації незалежно від прикладних програм різних користувачів.

У сукупності БД і СКБД утворюють банк даних.

Основними вимогами до інформаційного забезпечення є повнота, гнучка організація структур даних і способів керування ними, що дозволяє поповнювати, коректувати і вилучати дані без їхньої істотної перебудови, а також забезпечити швидкий і простий пошук потрібних даних.

Системи керування базами даних. СКБД відіграє роль інтерфейсу між користувачами і базою даних і являє собою автономну програмну систему, що звичайно не входить в операційну систему і складається з трьох груп програм:

а) обробки й організації вхідних даних,

б) створення і коректування файлів,

с) пошуку даних у файлах.

СКБД має свої язикові засоби для опису бази даних і забезпечення доступу прикладних програм САПР, а також самих користувачів до бази даних. Мова СКБД складається з трьох частин:

а) мови опису даних, що описує спосіб організації, розміщення і зв'язки даних і не залежить від прикладних програм;

б) мови маніпулювання даними, що дозволяє зв'язати базу даних із прикладними програмами або користувачем, тобто сформувати потрібну конфігурацію з окремих частин;

в) мови обробки даних (введення, виведення, стирання, коректування даних і їх відновлення, якщо вони зіпсовані)

Прикладні і системні бази даних САПР. Бази і бібліотеки даних, використовувані в САПР, можуть організовуватися двома способами -- як прикладні (усередині прикладних програм САПР як частина цих програм) і як системні (загальні для всіх підсистем САПР).

Основні переваги системних баз даних полягають у наступному. По-перше, дані виявляються незалежними від прикладних програм. Це полегшує розробку прикладних програм, тому що програміст може не знати повну структуру даних, місце їхнього збереження, а повинен знати лише спосіб звертання до бази даних. Крім того, у цьому випадку спрощується модифікація як прикладних програм, так і бази даних, оскільки внаслідок їхньої незалежності обсяг переробок істотно зменшується.

По-друге, використання різними підсистемами САПР загальної бази даних виключає необхідність дублювання даних у різних підсистемах, а також створення спеціальних інформаційних погоджувальних масивів для передачі даних з однієї системи в іншу в погодженому загальному форматі.

По-третє, системна база даних дозволяє легко організувати їхній захист, наприклад, від спроб одночасної модифікації даних різними користувачами або від несанкціонованого доступу до даних, а також організувати контроль правильності запитів користувачів до бази.

Недоліком системних баз даних є значний час одержання даних за запитом, пов'язаний з використанням операційних систем (ОС) і

тому істотно перевищуючий цей час у прикладних базах. Тому дані в САПР організуються звичайно по двоступеневому ієрархічному методу -- мається довгострокова системна база, що обслуговує оперативні прикладні бази даних у середині прикладних програм, що можуть наповнятися за запитом користувача потрібними даними щораз перед рішенням чергової задачі або групи задач.

Використання мови маніпулювання БД дозволяє записувати в тексті програм запити до системного БД, на основі яких СКБД відшукує потрібні дані і передає їх прикладним програмам.

7.3.4 Програмне забезпечення

У програмне забезпечення (ПЗ) входять тексти програм і документи для їхньої експлуатації (інструкції для користувача, тестові програми для діагностики помилок і збоїв і т.д.).

Основними вимогами до програмного забезпечення є:

а) гнучка організація (модульна побудова, взаємозамінність модулів і ін.), що допускає можливість побудови різних конфігурацій програмних систем і їхнього розширення;

б) добре сервісне забезпечення (можливість діагностики помилок, роботи в режимі діалогу, з поділом часу);

в) висока якість текстів програм (структурованість, швидкодія, ефективне використання пам'яті) і документації до неї.

ПЗ поділяється на системне та прикладне забезпечення

Системне програмне забезпечення. Системне програмне забезпечення включає загальні і спеціалізовані операційні системи. До загальних відносяться системи типу MS DOS, WINDOWS, UNIХ і ін., до спеціалізованих -- системи, створювані спеціально для керування унікальними програмними комплексами, наприклад, підсистемами САПР.

Операційною системою називається сукупність програм, керуючих роботою ПК, що забезпечують узгодження роботи ПК і програм користувачів, що надходять до неї, для максимального завантаження всіх пристроїв ПК і здійснюючих зв'язок ПК з користувачем з метою надання йому максимальних зручностей (послуг) при рішенні задач (інформації про хід рішення задачі і причинах зупинок у рішенні, можливості використання різних пристроїв вводу-виводу, полегшення процесу відлагодження програм і т.д.).

Основними частинами ОС є керуючі і системні обробні програми. До ОС входять також програми телеобробки даних, що забезпечують передачу даних в ПК по телефонним, телеграфним і іншим каналам зв'язку, і програми машинної графіки, що забезпечують роботу ПК з алфавітно-цифровими і графічними дисплеями.

Прикладні програми САПР. До прикладних відносяться програми, що виконують безпосереднє моделювання станів і процесів в об'єктах проектування. Прикладами прикладних програм САПР можуть служити програми створення математичних моделей елементів РЕА, розрахунку перехідних процесів, розрахунку частотних характеристик, логічного моделювання і т.д.

7.3.5 Технічне забезпечення

До складу технічного забезпечення (ТЗ) САПР входять ПК і периферійне допоміжне устаткування, що забезпечує зручність взаємодії проектувальника і САПР. До технічного забезпечення САПР пред'являються наступні основні вимоги: 1) достатність обчислювальних ресурсів (продуктивності і ємності пам'яті) для рішення всіх основних проектних задач; 2) наближеність до робочих місць проектувальників, зручність спілкування людини з ПК; 3) забезпечення колективного виконання проектування.

Задоволення цих вимог обумовлює наступні особливості технічного забезпечення САПР:

а) основним компонентом ТЗ є робочі станції (workstations -- WS), що поєднують ПК, периферійні пристрої, необхідні на робочому місці, і програмне забезпечення, орієнтоване на конкретну прикладну область;

б) робочі станції поєднують в обчислювальну мережу для забезпечення взаємодії розроблювачів і раціонального використання загальних апаратних, програмних і інформаційних ресурсів;

в) САПР великих і середніх підприємств для виконання трудомістких обчислювальних процедур часто має в своєму складі високопродуктивну ПК або супер ПК (mainframe -- MF).

Загальна структура ТЗ таких САПР показана на рис. 7.1, тобто обчислювальна мережа, у якій середовище передачі даних здійснює комунікації між вузлами мережі -- робочими місцями користувачів (РМ), серверами і високопродуктивною ПК.

Як РМ можуть використовуватися не тільки WS, але і персональні ПК (ППК). Однак у розвинутих САПР основним видом РМ є WS. До відзначних рис WS відносяться, по-перше, більша швидкодія завдяки використанню апаратних засобів підтримки графічних операцій, мережної взаємодії і інтерфейсів з масовою пам'яттю; по-друге, системна закінченість програмного забезпечення, орієнтованого на задачі проектування в конкретних прикладних областях.

Сервери виконують функції, характерні для таких обслуговуючих підсистем САПР, як моніторна або керування базами даних. Одночасно в деяких САПР сервери можуть використовуватися і як РМ.

Рисунок 7.1 - Структура технічного забезпечення САПР

Високопродуктивні ПК мають ряд архітектурних особливостей. Головний напрямок прискорення обчислень у сучасних ПК -- розпаралелення обчислень. Паралельні обчислення стають характерною рисою ТЗ САПР, оскільки не тільки знаходять застосування в суперПК і спеціалізованих процесорах, але й усе ширше починають застосовуватися в робочих станціях САПР. Потреби у високошвидкісних обчисленнях при проектуванні. Розглянемо приклади проектних процедур, що вимагають використання високошвидкісних обчислень.

1.Обробка зображень при інтерактивному конструюванні деталей і вузлів.

Перерахування координат однієї крапки в тривимірних зображеннях полягає в множенні матриці розміру 4*4 на вектор. Якщо зображення складається з 104 прямокутників, у кожнім з яких мається по 104 крапок при растровому зображенні, то загальне число перелічуваних крапок дорівнює 108 . Якщо при гПКетричному моделюванні користувач хоче переглядати зображення, що рухається, то потрібно змінювати кадри; при цьому прийнятна частота зміни кадрів зображення, що рухається, не повинна бути менш 10 Гц. Отже, необхідне швидкодія близько 2*1010 операцій у секунду (без обліку сегментації й інших прийомів скорочення обсягу обчислень).

2. Синтез тестів для надвеликих інтегральних схем (НВІС).

Якщо намагатися вирішувати задачу прямим перебором наборів вхідних кодів, то при N входах у ВІС маємо загальне число різних вхідних сигналів 2N . Якщо НВІС включає М елементів пам'яті, то загальне число елементарних перевірок, що гарантує повний контроль працездатності НВІС, складає 2N+M. Відзначимо, що для кожної елементарної перевірки потрібен аналіз схеми, а число виконуваних операцій у кожнім варіанті інкрементного аналізу пропорційно числу вентилів у НВІС, помноженому на число часових тактів.

З приведених прикладів ясно, що для багатьох проектних процедур необхідно шукати способи: а) скорочення трудомісткості обчислень; б) збільшення швидкості обчислень. Перше відноситься до проблем математичного забезпечення САПР. Друге зв'язано з проблемами як математичного (організація паралельних обчислювальних процесів), так і технічного забезпечення (підвищення продуктивності обчислювальних систем).

В обчислювальній техніці існують наступні напрямки прискорення обчислень: удосконалювання елементної бази; пошук структурних поліпшень у рамках традиційної фоннеймановської архітектури ПК; уведення паралелізму в обчислювальні процеси.

У сучасній мікроелектроніці досягнуті наступні значення показників, що характеризують швидкодію елементної бази:

затримка сигналу на один вентиль ЭСЛ 0,09...0,35 нс, на вентиль КМОП 0,2...0,6 нс; число транзисторів в одному кристалі -- до декількох мільйонів; число електричних з'єднань у кристалі -- кілька десятків тисяч, довжина деяких з них доходить до 10 мм.

У той же час швидкість поширення сигналів по провідниках обмежена: затримки в друкованих з'єднаннях складають 8 нс/м, у 50-омному кабелі -- 5 нс/м. У результаті затримки в міжз'єднаннях стали порівнянними з затримками у вентилях і стають головним і принциповим фактором, що обмежує підвищення швидкодії елементної бази.

До числа структурних удосконалень, добре відомих в обчислювальній техніці, відносяться введення кеш-пам'яті, її поділ на кеш-пам'ять команд і кеш-пам'ять даних, ієрархія шин, використання RISC (Reduced Instruction Set Computer) процесорів.

Однак можливості перших двох названих напрямків обмежені і майже вичерпані. Тому головний напрямок розвитку техніки високошвидкісних обчислень засновано на використанні паралелізму в обчислювальних процесах. Усі сучасні високопродуктивні ПК і тим більше суперПК використовують паралелізм обчислень у тій або іншій формі.

Надалі для стислості будемо застосовувати термін "високопродуктивна обчислювальна система" (ВОС) для позначення високопродуктивних ПК і суперЕВМ (тобто mainframes), якщо немає спеціального застереження.

7.3.6 Організаційне і методичне забезпечення

Впровадження й експлуатація САПР є не тільки складною науково-технічною, але й організаційною проблемою, що вимагає створення спеціальних підрозділів, відповідальних за поточний стан САПР, її модернізацію, правильне функціонування і т.д.

Сукупність правил, інструкцій і документів, що регламентують склад груп обслуговування САПР, їхні обов'язки і взаємини, утворить організаційне забезпечення САПР. В основному цей вид забезпечення зв'язаний з економічними і правовими проблемами, однак від нього часто залежить доля нових САПР. Не вдаючись у деталі, відзначимо тільки, що така динамічна частина САПР, як бібліотека моделей, повинна утримуватися в необхідному стані групою інформаційної підтримки САПР безпосередньо в організації, що експлуатує САПР.

Методичне забезпечення САПР -- це описи програм, баз даних, мов проектування і різні інструкції з використання усіх видів забезпечення САПР.

7.4 Тенденції автоматизованого проектування електронних пристроїв

У сфері автоматизації виробництва в приладобудуванні одна багатообіцяюча концепція зміняє іншу кожного десятиліття. У дев'яностих роках таким актуальним напрямком був ГАВ (гнучке автоматизоване виробництво). Сьогодні це концепція концентрації в просторі і в часі на одному робочому місці у єдиному наскрізному процесі всіх етапів створення нової продукції. Ціль нового підходу - гарантувати підвищення ефективності всіх етапів виробництва нової техніки з можливістю якнайшвидшої реакції на коливання попиту та пропозиції.

При цьому реалізується комплексний інженерний підхід до проектування РЕА. Він містить у собі кілька аспектів.

Один з найбільш істотних аспектів - це загальна проектна база даних, відкрита і дружня для всіх учасників розробки електронної системи. При цьому усувається саме вузьке місце САПР - передача проектної бази даних від однієї проектної процедури до іншої.

Іншим із самих істотних аспектів - це урахування факторів системного і функціонального рівнів. В даний час спостерігається зсув “центра ваги” проектування зі схемотехнічного рівня вбік функціонального і системного рівнів, на яких моделювання здійснюється з використанням функціональних і поведінкових моделей як апаратури, так і технологічних об'єктів, з якими вона працює.

Наступним аспектом є широке використання проектного досвіду у виді готових типових проектних рішень, уніфікованих електронних блоків і т.д. Так, типовий новий процесор будується на основі попередньої моделі процесора і містить всього 10% дійсно оригінальних технічних рішень.

Щоб практично реалізувати повторне використання проектних рішень, необхідні звичайні проектні бібліотеки перетворити в інтегровані системи керування бібліотеками, у яких утримувалися б дані не тільки власних технічних рішень одного або декількох проектів, але і каталоги, і технічні описи типових функціональних вузлів і блоків різних фірм , що випускають аналогічну апаратуру.

На практиці звичайно виникає задача модифікації тих розроблених або вибраних з бібліотеки готових рішень електронних схем, що не задовольняють усьому наборові технічних вимог до системи. Несуперечність і сумісність змін проекту перевіряється і забезпечується моделюванням системи або її частин у змішаному режимі, використовуючи опис апаратури від поведінкового до схемного.

Наступним аспектом цього підходу є паралельне проектування. Правилом при комплектуванні проектних колективів є включення в їхній склад фахівців схемотехніків, програмістів, конструкторів, технологів, фахівців з тестування і надійності і т.д., що будуть одночасно і паралельно відпрацьовувати всі аспекти створюваної системи. При роботі за методом паралельного проектування розроблювач може розраховувати, що кінцеві характеристики системи йому стануть відомі на самих ранніх стадіях розробки для порівняння з вимогами ТЗ та своєчасної корекції.

Але цей підхід може дати очікувані результати тільки при наявності потужної ПК. Такі ПК у даний час маються в великому асортименті.

Таким чином, сучасна інтегрована інтелектуальна САПР допоможе розроблювачам швидко створювати високонадійні системи високого рівня складності. Засоби проектування і верифікації на високому рівні опису дозволяє докладно досліджувати створювану систему й оцінити її параметри і характеристики ще до початку конкретної реалізації рішень. По мірі руху по проектній ієрархії зверху вниз розробники зможуть повною мірою використовувати колишні проектні рішення або готові електронні модулі різних фірм. А метод паралельного проектування гарантує їм, що при реалізації системи будуть повною мірою враховані всі подробиці і вимоги до неї.

Практика проектування РЕА в даний час, в основному, підтверджує цю концепцію. Так, тенденції проектування РЕА такі, що обсяг її проектування на схемотехнічному рівні, де успішно використовувалися програми автоматизованого схемотехнічного проектування (АСхП) скорочується, а на функціональному рівні зростає. Це пояснюється тим, що електронна апаратура зараз в основному збирається зі стандартних функціональних вузлів, що поставляються у достатку на ринок різними фірмами, а на схемотехнічному рівні відпрацьовуються лише деякі оригінальні схеми. Яскравим прикладом такого підходу є збирання ППК та різних АСУ ТП з готових модулів.

СПИСОК ЛІТЕРАТУРИ

1. Тімовський А.К., Герасименко В.П., Журавльов В.М. Застосування программи MAEC-П для моделювання радіоелектронних пристроїв: Запоріжжя: ЗНТУ, 2001.-106с.

2. Піза Д.М., Тімовський А.К., Лугін А.І. Моделювання радіоелектронних пристроїв: Запоріжжя: ЗНТУ, 2003.-258с.

3. Норенков И. П. Разработка САПР.- М: МГТУ 1994. - 207с.

4. Ильин В.Н. и др. Автоматизация схемотехнического проектирования.- М.: Радио и связь,1987.- 368с.

5. Угрюмов Е.П Цифровая схемотехника.- СПб.: БХВ-Петербург, 2001.- 528с.

6. Алексеев О.В. и др. Автоматизация проектирования радиоэлекных средств.- М.: Высш. шк., 2000.- 479с.

7. Носов Ю. Р. и др. Математические модели элементов интегральной электроники. М., Сов. радио, 1976. - 304 с.

8. Влах И., Сингхал К. Машинные методы анализа и проектирования электронных схем. М.: Радио и связь, 1988. - 560с.

Системы автоматизированного проектирования в радиоэлектронике: Справочник / Под ред. И.П. Норенкова.- М.: Радио и связь,1986.- 368 с.

Системы автоматизированного проектирования. В 9 кн. / Под ред. И. П. Норенкова.- М.: Высш. шк., 1986.

Норенков И. П. Введение в автоматизированное проектирование технических устройств и систем.- М.: Высш. шк., 1986.- 306с

Петренко А.И., Власов А. И., Тимченко А.П. Табличные методы моделирования схем на ЦВМ.- К.: Вища школа, 1977.- 192с.

14. Советов Б.Я., Яковлев С. А. Моделирование систем. Практикум. - М.: Высш. шк., 1999. - 224с.

15. Бибило П.Н. Синтез логических схем с использованием языка VHDL.- М.: СОЛОН-Р, 2002.-384с.

Шапо Ф.С., Шапо В.Ф. Введение в VHDL-язык проектирования цифровых систем.- Одесса: Астропринт, 2001.- 220с.

Семенец В. В. и др. Проектирование цифровых систем с использованием языка VHDL.-Харьков: ХНУРЭ, 2003.- 492с.

18. Шрайбер Дж. Моделирование на GPSS.- М.: Маш., 1980.- 592с.

19. Норенков И.П. Автоматизированное проектирование.- М.: Высш. шк., 2000.-187с..

20. Грушвицкий Р. и др. Проектирование систем на микросхемах программируемой логики.- СПб.: БХВ-Петербург, 2002.- 608с

21. Хаханов В.И., Хаханова И.В. VHDL + VERILOG = Синтез за минуты.- Харьков: ООО “Компания СМИТ”, 2007.- 264с

22. Перельройзен Е.З. Проектируем на VHDL.- М.: СОЛОН - Пресс, 2004.- 448с.

23. Антонов А.П. Язык описания цифрових устройств AlteraHDL. Практический курс. - М.: ИП РадиоСофт, 2001.- 224с.

24. Бибило П.Н. Основи языка VHDL.- М.: СОЛОН-Р, 2002.-224с.

ДОДАТОК А

Математичні моделі елементів електронних пристроїв

А.1 Модель напівпровідникового діода

Напівпровідниковий діод - найбільш розповсюджений в електронній апаратурі нелінійний елемент.

Модель діода, яка зображена на рис.А.1, є універсальною нелінійною моделлю, що описує електричні процеси в статичному та динамічному режимах в прямому і зворотному напрямках роботи, за винятком області пробою p-n переходу. Ця ММ придатна як для великих сигналів, так і для рисих в області низьких і середніх частот.

а) б)

Рисунок А.1 - Графічне зображення а) та еквівалентна схема б) діода

Модель діода описується наступною системою рівнянь:

;

Un = Uд - IдRБ ;

;

;

;

,

де Iд, Uд - струм і напруга діода;

In, Un - струм і напруга через p-n перехід;

C, Cдиф, CБ - сумарна ємність, дифузійна ємність та бар'єрна ємність p-n переходу, що враховує накопичування зарядів в p-n переході;

Rp- опір розтікання p-n переходу;

RБ - об'ємний опір бази діода;

IS- тепловий струм p-n переходу;

Т- тепловий потенціал;

m - коефіцієнт, що враховує неідеальність реальної характеристики p-n переходу;

C0- бар'єрна ємність p-n переходу при U=0;

- контактна різниця потенціалів p-n переходу;

- стала часу дифузійної ємності.

А.2 Моделі біполярного транзистора

Біполярний транзистор є також одним з найбільш розповсюджених елементів електронних приладів.

До нинішнього часу розроблена велика кількість моделей біполярного транзистора, як-то: моделі Еберса-Мола, Логана, ПАЕС, Лінвіла, Гумеля-Пуна, IBIS-BIRD, Кремльова-Голубєва і т.д. Відмінність між різними моделями полягає в характері та в кількості фізичних ефектів, що враховуються, та використованих наближень в режимах, що аналізуються.

Найбільше розповсюдження одержали два різновиди моделі Еберса-Мола: інжекційна та переносу. Модель ПАЕС (інколи її називають моделлю Норенкова), що часто використовується у вітчизняних програмах, також є різновидом інжекційної моделі Еберса-Мола. Ці моделі відрізняються тим, що інжекційна модель одержана, виходячи з струмів, інжектованих крізь p-n переходи, а модель переносу - виходячи з струмів, що протікають впоперек базової області від колектора до емітера.

Спочатку розглянемо інжекційну модель Еберса-Мола n-p-n транзистора, зображену на рис. А.2. Ця модель описує електричні процеси в статичному та динамічному режимах роботи транзистора при прямому та інверсному його увімкненні.

а) б)

Рисунок А.2 - Еквівалентна схема моделі Еберса-Мола для n- p-n транзистора (а) та його графічне зображення (б)

Система рівнянь даної моделі має вигляд:

;

;

;

;

;

;

де Iе , Iк - струми емітера та колектора;

Iдк , Iде - струми, інжектовані через переходи;

I0е, I - зворотні струми, що визначаються при напругах на переходах Uк = 0, Uе = 0 ;

N, І - нормальний та інверсний коефіцієнти підсилення по струму в схемі із спільною базою;

Uе , Uк - напруга на емітерному та колекторному переходах;

Сед , Cеб, Скд, Cкб - ємності дифузійні та бар'єрні емітерного та колекторного переходів;

Сое , Cок - бар'єрні ємності емітерного та колекторного переходів при Uк=0, Uе=0;

е, к - контактна різниця потенціалів переходів;

t - тепловий потенціал;

mэ, mк - коефіцієнти апроксимації вольт-амперних характеристик емітерного та колекторного переходів;

Rб, Rк, Rе - об'ємні опори базової, колекторної та емітерної областей;

N,І - сталі часу накопичування зарядів в емітерному та колекторному переходах;

Rре, Rрк - опори розтікання переходів.

Тепер розглянемо модель переносу (іноді її називають моделлю Логана), зображену на рис. А.3.

Рисунок А.3 - Еквівалентна схема моделі переносу для n-p-n транзистора і його графічне зображення

Система рівнянь моделі переносу має вигляд:

;

;

; ;

CE=CЕБЕД ; CК=CКБКД;

CЕБ =f(Uе) і CКБ = f(Uk) такі ж, як і у моделі Еберса-Мола;

; ,

де IE , IK - струми емітера та колектора;

IN , II - струми переносу, які збираються переходами в прямому та інверсному включенні транзистора;

N , I - нормальний та інверсний коефіцієнти підсилення по струму в схемі із спільним емітером;

UE , UK - напруга на емітерному та колекторному переходах;

IS - тепловий струм переходу;

CE , CЕБ , СЕД - сумарна, бар'єрна та дифузійна ємності емітерного переходу;

CК , CКБ , СКД - сумарна, бар'єрна та дифузійна ємності колекторного переходу;

t - тепловий потенціал;

E , K - контактна різниця потенціалів переходів;

N,i - сталі часу накопичування зарядів в емітерному та колекторному переходах.

Для моделювання в частотній області електронних пристроїв з біполярними транзисторами, треба використовувати малосигнальну модель транзистора, яку найкраще одержати з нелінійної моделі шляхом лінеаризації її нелінійних джерел у робочій точці.

А.3 Моделі польових транзисторів

Польові транзистори - це напівпровідникові пристрої, у яких керування струмом, що протікає між двома електродами здійснюється за допомогою напруги, прикладеного до третього електрода.

Їхня робота заснована на переміщенні тільки основних носіїв заряду.

Керування струмом здійснюється за рахунок зміни питомої провідності і площі перетину напівпровідникового шару (каналу), через який проходить робочий струм за допомогою електричного поля. Електрод польового транзистора, через який витікають носії заряду з каналу, називається витік (В), електрод, через який в канал втікають носії називається стоком (С), а електрод, напруга на якому перекриває канал, називається затвором (З).

Щодо конструктивних особливостей польові транзистори поділяються на транзистори із керуючим p-n переходом (ПТКП) та транзистори з ізольованим затвором (структури метал-діелектрик - напівпровідник (МДН) - або метал-окисел-напівпровідник (МОН- транзистори).

В польовому транзисторі з керуючим p-n переходом затвор утворює p-n перехід з областю каналу. При подачі на перехід затвор-виток зворотної напруги відбувається звуження каналу, а отже, зміна струму каналу. Тому транзистор з керуючим p-n переходом працює із негативним зміщенням у випадку каналу n-типу і з позитивним зміщенням, якщо канал p-типу.

...

Подобные документы

  • Аналіз функціонування ЗЕМ на базі інформаційних технологій схемотехнічного проектування. Проектування конструкторської реалізації ЗЕМ у формі ГІС. Проектування плівкових пасивних елементів і конструкції. Визначення параметрів паразитних елементів.

    курсовая работа [2,6 M], добавлен 19.10.2010

  • Етапи та стадії проектування на прикладi розробки ультразвукового сканера: характеристики приладу, технічне завдання, труднощі традиційного проектування. Суть блочно-ієрархічний підходу при технічному проектуванні. Структура проектування схеми вузла.

    реферат [52,9 K], добавлен 08.01.2011

  • Особливості мережі зв’язку; проектування автоматизованої системи: вибір глобального показника якості, ефективності; визначення структури мережі і числових значень параметрів. Етапи проектування технічних систем, застосування математичних методів.

    реферат [58,6 K], добавлен 13.02.2011

  • Проектування телекомунікаційних та інформаційних мереж. Ознайомлення з початковим етапом проектування мереж зв’язку. Набуття практичних навичок укладання технічних завдань для складних інфокомунікаційних систем та об’єктів.

    лабораторная работа [195,8 K], добавлен 22.01.2007

  • Ручне та автоматизоване використання електронно-обчислювальних машин у процесі проектування на сучасному етапі. Система крізного автоматизованого проектування, її сутність, оцінка переваг та особливості застосування, комплекс засобів даної системи.

    реферат [13,5 K], добавлен 05.01.2011

  • Огляд конструкцій видів проволочних підстроювальних резисторів з коловими переміщеннями контактів. Розрахунок резистивного елемента, контактів пружини, частотних характеристик з метою проектування резистору змінного опору по заданим вихідним параметрам.

    курсовая работа [51,1 K], добавлен 29.08.2010

  • Методика проектування комбінаційних пристроїв. Математичний апарат цифрової мікросхемотехніки. Формалізоване подання алгоритму функціонування комбінаційного пристрою у вигляді таблиці істинності. Побудова електричної схеми пристрою по логічній функції.

    курсовая работа [53,0 K], добавлен 19.09.2014

  • Передача даних як важливий вид документального електрозв'язку. Розгляд особливостей та основних етапів проектування середньо-швидкісного тракту передачі даних. Аналіз системи з вирішальним зворотнім зв'язком, неперервною передачею і блокуванням приймача.

    дипломная работа [1,3 M], добавлен 06.04.2013

  • Специфічні властивості, притаманні нелінійним вузлам радіоелектронної апаратури. Поняття "опрацьована схема", причетного до довільного вузла РЕА. Загальні уявлення про опрацьовану схему. Задачі, які необхідно вирішувати при схемотехнічному проектуванні.

    реферат [12,8 K], добавлен 05.01.2011

  • Опис функціонування багатофункціонального регістру, схема пристрою. Проектування БФР на елементах серії К555 малої, середньої й високого ступеню інтеграції, що виконує заданий набір мікрооперацій і є основним вузлом синтезованого операційного автомата.

    курсовая работа [306,9 K], добавлен 24.09.2010

  • Загальні відомості і склад систем автоматизованого проектування OrCAD 9.2. Порядок складання і ведення бібліотек посадочних місць (корпусів) елементів. Особливості налаштування, розміщення компонентів і трасування провідників в OrCAD Layout Plus 9.2.

    реферат [2,8 M], добавлен 01.03.2010

  • Проектування структурованої кабельної системи. Основні принципи фізичної побудови мережі та підбір відповідного обладнання. Проектування Vlan та організація доступу до Інтернету. Механізм боротьби з несанкціонованим доступом до службової інформації.

    реферат [832,9 K], добавлен 07.05.2009

  • Способи проектування мереж абонентського доступу (МАД) на основі технології VDSL. Розрахунок варіантів розміщення ONU. Розрахунок пропускної здатності розглянутої топології VDSL. Аналіз основних характеристик МАД, розробка засобів їхнього підвищення.

    курсовая работа [772,2 K], добавлен 29.08.2010

  • Процес формування сигналу-коду та його перевірка. Ескізне проектування, електрична структурна схема, основні аспекти роботи системи. Розробка моделі на мові VHDL, генерація кодової послідовності, схеми мультиплексорів та реалізація приймача сигналу.

    курсовая работа [422,6 K], добавлен 18.09.2010

  • Аналіз місця розташування комп’ютерної мережі та потреби в централізованому збереженні даних. Необхідність автоматизації. Вимоги безпеки. Проектування топології локальної мережі. Domain Name Service та Email Service. Адміністративний та інші сервери.

    курсовая работа [33,7 K], добавлен 04.10.2013

  • Початкові етапи проектування оптимальних систем базуються на основних положеннях теорії векторної оптимізації, що визначає правила вибору оптимальних проектних рішень. Особливості та проблеми постановки задачі з урахуванням сукупності показників якості.

    реферат [130,4 K], добавлен 13.02.2011

  • Системи автоматичного проектування. Характеристика PCAD 2008 для побудови принципової схеми управління освітленням з будь-якого пульту ДУ та трасування плати з реальними фізичними розмірами компонент. Короткий огляд САПР, які існують на сьогоднішній день.

    курсовая работа [21,2 K], добавлен 09.06.2010

  • Характеристика системи відеоспостереження замкнутого типу для банку з віддаленими від центрального офісу відділеннями. Основні вимоги до відеоспостереження в банку. Проектування кабельної системи. Розрахунок декоративних коробів і їх аксесуарів.

    дипломная работа [576,7 K], добавлен 24.01.2014

  • Системи автоматичного проектування ACCEL Schematic, Dr. Spice. Опис роботи в середовищі PCAD-2009: створювання принципових схем для їх подальшого трасування, позначення компонент, бібліотек дискретних елементів. Принципова схема дешифратора сигналів.

    реферат [22,5 K], добавлен 09.06.2010

  • Вивчення конструкції інтегрального транзистора. Дослідження засобів проектування та технології виготовлення інвертора позитивних імпульсів. Визначення габаритних розмірів мікросхеми. Огляд параметрів інтегральних діодів. Розрахунок дифузійних резисторів.

    курсовая работа [209,3 K], добавлен 07.10.2014

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.